AN 987: ਸਥਿਰ ਅੱਪਡੇਟ ਅੰਸ਼ਕ
ਮੁੜ ਸੰਰਚਨਾ ਟਿਊਟੋਰਿਅਲ
Intel®™ Agilex F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ ਲਈ ਸਥਿਰ ਅੱਪਡੇਟ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਟਿਊਟੋਰਿਅਲ
ਇਹ ਐਪਲੀਕੇਸ਼ਨ ਨੋਟ Intel ® F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ 'ਤੇ ਸਥਿਰ ਅੱਪਡੇਟ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ (SUPR) ਦਾ ਪ੍ਰਦਰਸ਼ਨ ਕਰਦਾ ਹੈ। ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ (PR) ਤੁਹਾਨੂੰ ਇੱਕ Intel FPGA ਦੇ ਇੱਕ ਹਿੱਸੇ ਨੂੰ ਗਤੀਸ਼ੀਲ ਰੂਪ ਵਿੱਚ ਮੁੜ ਸੰਰਚਿਤ ਕਰਨ ਦੀ ਇਜਾਜ਼ਤ ਦਿੰਦਾ ਹੈ, ਜਦੋਂ ਕਿ ਬਾਕੀ FPGA ਕੰਮ ਕਰਨਾ ਜਾਰੀ ਰੱਖਦਾ ਹੈ। PR ਇਸ ਖੇਤਰ ਤੋਂ ਬਾਹਰ ਦੇ ਖੇਤਰਾਂ ਵਿੱਚ ਸੰਚਾਲਨ ਨੂੰ ਪ੍ਰਭਾਵਿਤ ਕੀਤੇ ਬਿਨਾਂ, ਤੁਹਾਡੇ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਇੱਕ ਖਾਸ ਖੇਤਰ ਵਿੱਚ ਇੱਕ ਤੋਂ ਵੱਧ ਵਿਅਕਤੀਆਂ ਨੂੰ ਲਾਗੂ ਕਰਦਾ ਹੈ। ਇਹ ਵਿਧੀ ਹੇਠ ਦਿੱਤੀ ਸਲਾਹ ਪ੍ਰਦਾਨ ਕਰਦੀ ਹੈtagਸਿਸਟਮਾਂ ਵਿੱਚ es ਜਿਸ ਵਿੱਚ ਕਈ ਫੰਕਸ਼ਨ ਇੱਕੋ FPGA ਸਰੋਤਾਂ ਨੂੰ ਸਮਾਂ-ਸਾਂਝਾ ਕਰਦੇ ਹਨ:
- ਰਨ-ਟਾਈਮ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ
- ਡਿਜ਼ਾਈਨ ਮਾਪਯੋਗਤਾ ਵਧਾਉਂਦਾ ਹੈ
- ਸਿਸਟਮ ਡਾਊਨ-ਟਾਈਮ ਨੂੰ ਘਟਾਉਂਦਾ ਹੈ
- ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਡਾਇਨਾਮਿਕ ਟਾਈਮ-ਮਲਟੀਪਲੈਕਸਿੰਗ ਫੰਕਸ਼ਨਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ
- ਬੋਰਡ ਸਪੇਸ ਦੀ ਕੁਸ਼ਲ ਵਰਤੋਂ ਦੁਆਰਾ ਲਾਗਤ ਅਤੇ ਬਿਜਲੀ ਦੀ ਖਪਤ ਨੂੰ ਘਟਾਉਂਦਾ ਹੈ
ਸਟੈਟਿਕ ਅੱਪਡੇਟ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਕੀ ਹੈ?
ਪਰੰਪਰਾਗਤ PR ਵਿੱਚ, ਸਥਿਰ ਖੇਤਰ ਵਿੱਚ ਕਿਸੇ ਵੀ ਤਬਦੀਲੀ ਲਈ ਹਰੇਕ ਵਿਅਕਤੀ ਦੀ ਮੁੜ ਸੰਕਲਨ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ। ਹਾਲਾਂਕਿ, SUPR ਨਾਲ ਤੁਸੀਂ ਇੱਕ ਵਿਸ਼ੇਸ਼ ਖੇਤਰ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰ ਸਕਦੇ ਹੋ ਜੋ ਪਰਸਨਾਸ ਦੇ ਮੁੜ ਸੰਕਲਨ ਦੀ ਲੋੜ ਤੋਂ ਬਿਨਾਂ, ਤਬਦੀਲੀ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ। ਇਹ ਤਕਨੀਕ ਡਿਜ਼ਾਈਨ ਦੇ ਉਸ ਹਿੱਸੇ ਲਈ ਲਾਭਦਾਇਕ ਹੈ ਜਿਸ ਨੂੰ ਤੁਸੀਂ ਜੋਖਮ ਘਟਾਉਣ ਲਈ ਬਦਲਣਾ ਚਾਹ ਸਕਦੇ ਹੋ, ਪਰ ਇਸ ਲਈ ਕਦੇ ਵੀ ਰਨਟਾਈਮ ਮੁੜ ਸੰਰਚਨਾ ਦੀ ਲੋੜ ਨਹੀਂ ਹੁੰਦੀ ਹੈ।
1.1 ਟਿਊਟੋਰਿਅਲ ਲੋੜਾਂ
ਇਸ ਟਿਊਟੋਰਿਅਲ ਲਈ ਹੇਠ ਲਿਖਿਆਂ ਦੀ ਲੋੜ ਹੈ:
- Intel Quartus® Prime Pro Edition FPGA ਲਾਗੂਕਰਨ ਪ੍ਰਵਾਹ ਅਤੇ ਪ੍ਰੋਜੈਕਟ ਨਾਲ ਮੁੱਢਲੀ ਜਾਣ-ਪਛਾਣ files.
- Intel Agilex ਡਿਵਾਈਸ ਸਪੋਰਟ ਦੇ ਨਾਲ, Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 22.3 ਦੀ ਸਥਾਪਨਾ।
- FPGA ਲਾਗੂ ਕਰਨ ਲਈ, ਇੱਕ ਜੇTAG ਬੈਂਚ 'ਤੇ Intel Agilex F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ ਨਾਲ ਕੁਨੈਕਸ਼ਨ।
- ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਡਾਊਨਲੋਡ ਕਰੋ Fileਐੱਸ. ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
- ਅੰਸ਼ਕ ਮੁੜ ਸੰਰਚਨਾ ਉਪਭੋਗਤਾ ਗਾਈਡ
- ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਟਿਊਟੋਰਿਅਲ
- ਅੰਸ਼ਕ ਪੁਨਰਗਠਨ ਔਨਲਾਈਨ ਸਿਖਲਾਈ
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਲਈ ਵਾਰੰਟ ਦਿੰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਅਰਜ਼ੀ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ISO 9001:2015 ਰਜਿਸਟਰਡ
1.2 ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਓਵਰview
ਇਸ ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਇੱਕ, 32-ਬਿੱਟ ਕਾਊਂਟਰ ਸ਼ਾਮਲ ਹਨ। ਬੋਰਡ ਪੱਧਰ 'ਤੇ, ਡਿਜ਼ਾਈਨ ਘੜੀ ਨੂੰ 50MHz ਸਰੋਤ ਨਾਲ ਜੋੜਦਾ ਹੈ, ਅਤੇ ਫਿਰ ਆਉਟਪੁੱਟ ਨੂੰ ਬੋਰਡ 'ਤੇ ਚਾਰ LEDs ਨਾਲ ਜੋੜਦਾ ਹੈ। ਕਾਊਂਟਰ ਬਿੱਟਾਂ ਤੋਂ ਆਉਟਪੁੱਟ ਨੂੰ ਚੁਣਨਾ, ਇੱਕ ਖਾਸ ਕ੍ਰਮ ਵਿੱਚ, ਇੱਕ ਖਾਸ ਬਾਰੰਬਾਰਤਾ 'ਤੇ LEDs ਝਪਕਦਾ ਹੈ। top_counter ਮੋਡੀਊਲ SUPR ਖੇਤਰ ਹੈ।
ਚਿੱਤਰ 1. ਫਲੈਟ ਰੈਫਰੈਂਸ ਡਿਜ਼ਾਈਨ
1.3 ਸਥਿਰ ਅੱਪਡੇਟ ਖੇਤਰ ਓਵਰview
ਨਿਮਨਲਿਖਤ ਚਿੱਤਰ ਇੱਕ PR ਡਿਜ਼ਾਈਨ ਲਈ ਬਲਾਕ ਚਿੱਤਰ ਦਿਖਾਉਂਦਾ ਹੈ ਜਿਸ ਵਿੱਚ ਇੱਕ SUPR ਖੇਤਰ ਸ਼ਾਮਲ ਹੁੰਦਾ ਹੈ। ਬਲਾਕ ਏ ਚੋਟੀ ਦਾ ਸਥਿਰ ਖੇਤਰ ਹੈ। ਬਲਾਕ ਬੀ SUPR ਖੇਤਰ ਹੈ। ਬਲਾਕ C PR ਭਾਗ ਹੈ।
ਚਿੱਤਰ 2. SUPR ਖੇਤਰ ਦੇ ਨਾਲ PR ਡਿਜ਼ਾਈਨ
- ਇੱਕ ਚੋਟੀ ਦਾ ਸਥਿਰ ਖੇਤਰ — ਡਿਜ਼ਾਈਨ ਤਰਕ ਰੱਖਦਾ ਹੈ ਜੋ ਬਦਲਦਾ ਨਹੀਂ ਹੈ। ਇਸ ਖੇਤਰ ਨੂੰ ਬਦਲਣ ਲਈ ਸਾਰੇ ਸਬੰਧਿਤ ਵਿਅਕਤੀਆਂ ਨੂੰ ਮੁੜ ਸੰਕਲਨ ਕਰਨ ਦੀ ਲੋੜ ਹੈ। ਸਥਿਰ ਖੇਤਰ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਦਾ ਉਹ ਹਿੱਸਾ ਸ਼ਾਮਲ ਹੁੰਦਾ ਹੈ ਜੋ ਕਿਸੇ ਵੀ ਵਿਅਕਤੀ ਲਈ ਨਹੀਂ ਬਦਲਦਾ। ਇਸ ਖੇਤਰ ਵਿੱਚ ਪੈਰੀਫੇਰੀ ਅਤੇ ਕੋਰ ਡਿਵਾਈਸ ਸਰੋਤ ਸ਼ਾਮਲ ਹੋ ਸਕਦੇ ਹਨ। ਤੁਹਾਨੂੰ ਸਥਿਰ ਖੇਤਰ ਵਿੱਚ SUPR ਅਤੇ PR ਭਾਗਾਂ ਵਿਚਕਾਰ ਸਾਰੇ ਸੰਚਾਰ ਨੂੰ ਰਜਿਸਟਰ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। ਇਹ ਲੋੜ ਸਥਿਰ ਖੇਤਰ ਦੇ ਸਬੰਧ ਵਿੱਚ, ਕਿਸੇ ਵੀ ਵਿਅਕਤੀ ਲਈ ਸਮੇਂ ਦੇ ਬੰਦ ਹੋਣ ਨੂੰ ਯਕੀਨੀ ਬਣਾਉਣ ਵਿੱਚ ਮਦਦ ਕਰਦੀ ਹੈ।
- B SUPR ਖੇਤਰ—ਕੋਰ-ਓਨਲੀ ਤਰਕ ਰੱਖਦਾ ਹੈ ਜੋ ਸੰਭਾਵੀ ਤੌਰ 'ਤੇ ਜੋਖਮ ਘਟਾਉਣ ਲਈ ਬਦਲ ਸਕਦਾ ਹੈ, ਪਰ ਕਦੇ ਵੀ ਰਨਟਾਈਮ ਪੁਨਰ-ਸੰਰਚਨਾ ਦੀ ਲੋੜ ਨਹੀਂ ਹੁੰਦੀ ਹੈ। SUPR ਖੇਤਰ ਵਿੱਚ PR ਭਾਗ ਵਾਂਗ ਹੀ ਲੋੜਾਂ ਅਤੇ ਪਾਬੰਦੀਆਂ ਹਨ। SUPR ਭਾਗ ਵਿੱਚ ਸਿਰਫ਼ ਮੁੱਖ ਸਰੋਤ ਹੋ ਸਕਦੇ ਹਨ। ਇਸ ਲਈ, SUPR ਭਾਗ ਸਿਖਰ-ਪੱਧਰ ਦੇ ਰੂਟ ਭਾਗ ਦਾ ਇੱਕ ਚਾਈਲਡ ਭਾਗ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ ਜਿਸ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਪੈਰੀਫੇਰੀ ਅਤੇ ਘੜੀਆਂ ਸ਼ਾਮਲ ਹੁੰਦੀਆਂ ਹਨ। SUPR ਖੇਤਰ ਨੂੰ ਬਦਲਣ ਨਾਲ ਇੱਕ SRAM ਵਸਤੂ ਪੈਦਾ ਹੁੰਦੀ ਹੈ File (.sof) ਜੋ ਕਿ ਸਾਰੇ ਮੌਜੂਦਾ ਕੰਪਾਇਲ ਕੀਤੇ ਰਾਅ ਬਾਈਨਰੀ ਦੇ ਅਨੁਕੂਲ ਹੈ File (.rbf) filePR ਭਾਗ C ਲਈ s.
- C PR ਭਾਗ—ਵਿੱਚ ਆਪਹੁਦਰੇ ਤਰਕ ਸ਼ਾਮਲ ਹੁੰਦੇ ਹਨ ਜਿਸ ਨੂੰ ਤੁਸੀਂ ਕਿਸੇ ਵੀ ਡਿਜ਼ਾਈਨ ਤਰਕ ਨਾਲ ਰਨਟਾਈਮ 'ਤੇ ਮੁੜ-ਪ੍ਰੋਗਰਾਮ ਕਰ ਸਕਦੇ ਹੋ ਜੋ ਸੰਕਲਨ ਦੇ ਦੌਰਾਨ ਟਾਈਮਿੰਗ ਕਲੋਜ਼ਰ ਨੂੰ ਫਿੱਟ ਕਰਦਾ ਹੈ ਅਤੇ ਪ੍ਰਾਪਤ ਕਰਦਾ ਹੈ।
1.4 ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਡਾਊਨਲੋਡ ਕਰੋ Files
ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਟਿਊਟੋਰਿਅਲ ਹੇਠ ਦਿੱਤੇ ਸਥਾਨ 'ਤੇ ਉਪਲਬਧ ਹੈ: https://github.com/intel/fpga-partial-reconfig
ਟਿਊਟੋਰਿਅਲ ਨੂੰ ਡਾਊਨਲੋਡ ਕਰਨ ਲਈ:
- ਕਲੋਨ 'ਤੇ ਕਲਿੱਕ ਕਰੋ ਜਾਂ ਡਾਊਨਲੋਡ ਕਰੋ।
- ਜ਼ਿਪ ਡਾਊਨਲੋਡ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। fpga-partial-reconfig-master.zip ਨੂੰ ਅਨਜ਼ਿਪ ਕਰੋ file.
- ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ ਤੱਕ ਪਹੁੰਚ ਕਰਨ ਲਈ ਟਿਊਟੋਰਿਅਲਸ/agilex_pcie_devkit_blinking_led_sup ਸਬਫੋਲਡਰ 'ਤੇ ਨੈਵੀਗੇਟ ਕਰੋ।
ਫਲੈਟ ਫੋਲਡਰ ਵਿੱਚ ਹੇਠ ਲਿਖੇ ਸ਼ਾਮਲ ਹਨ files:
ਸਾਰਣੀ 1. ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ Files
File ਨਾਮ | ਵਰਣਨ |
ਸਿਖਰ sv | ਸਿਖਰ-ਪੱਧਰ file ਡਿਜ਼ਾਈਨ ਦੇ ਫਲੈਟ ਲਾਗੂ ਕਰਨ ਨੂੰ ਰੱਖਦਾ ਹੈ. ਇਹ ਮੋਡੀਊਲ blinking_led ਸਬ-ਪਾਰਟੀਸ਼ਨ ਅਤੇ top_counter ਮੋਡੀਊਲ ਨੂੰ ਤੁਰੰਤ ਬਣਾਉਂਦਾ ਹੈ। |
t op_counter . sv | ਸਿਖਰ-ਪੱਧਰ ਦਾ 32-ਬਿੱਟ ਕਾਊਂਟਰ ਜੋ LED [1] ਨੂੰ ਸਿੱਧਾ ਕੰਟਰੋਲ ਕਰਦਾ ਹੈ। ਕਾਊਂਟਰ ਦਾ ਰਜਿਸਟਰਡ ਆਉਟਪੁੱਟ LED [0] ਨੂੰ ਕੰਟਰੋਲ ਕਰਦਾ ਹੈ, ਅਤੇ Blinking_led ਮੋਡੀਊਲ ਰਾਹੀਂ LED [2] ਅਤੇ LED [3] ਨੂੰ ਵੀ ਪਾਵਰ ਦਿੰਦਾ ਹੈ। |
blinking_led. sdc | ਪ੍ਰੋਜੈਕਟ ਲਈ ਸਮਾਂ ਸੀਮਾਵਾਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਦਾ ਹੈ। |
blinking_led. sv | ਇਸ ਟਿਊਟੋਰਿਅਲ ਵਿੱਚ, ਤੁਸੀਂ ਇਸ ਮੋਡੀਊਲ ਨੂੰ ਇੱਕ ਪੇਰੈਂਟ PR ਭਾਗ ਵਿੱਚ ਬਦਲਦੇ ਹੋ। ਮੋਡੀਊਲ top_counter ਮੋਡੀਊਲ ਦਾ ਰਜਿਸਟਰਡ ਆਉਟਪੁੱਟ ਪ੍ਰਾਪਤ ਕਰਦਾ ਹੈ, ਜੋ LED [2] ਅਤੇ LED [3] ਨੂੰ ਕੰਟਰੋਲ ਕਰਦਾ ਹੈ। |
blinking_led.qpf | Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ file ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਸਾਰੇ ਸੰਸ਼ੋਧਨਾਂ ਦੀ ਸੂਚੀ ਰੱਖਦਾ ਹੈ। |
blinking_led . qs f | Intel Quartus Prime ਸੈਟਿੰਗਾਂ file ਪ੍ਰੋਜੈਕਟ ਲਈ ਅਸਾਈਨਮੈਂਟ ਅਤੇ ਸੈਟਿੰਗਾਂ ਨੂੰ ਸ਼ਾਮਲ ਕਰਦਾ ਹੈ। |
ਨੋਟ: supr ਫੋਲਡਰ ਵਿੱਚ ਦਾ ਪੂਰਾ ਸੈੱਟ ਹੁੰਦਾ ਹੈ fileਜੋ ਤੁਸੀਂ ਇਸ ਐਪਲੀਕੇਸ਼ਨ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਬਣਾਉਂਦੇ ਹੋ ਨੋਟ ਇਹਨਾਂ ਦਾ ਹਵਾਲਾ ਦਿਓ fileਵਾਕਥਰੂ ਦੌਰਾਨ ਕਿਸੇ ਵੀ ਬਿੰਦੂ 'ਤੇ s.
1.5 ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ ਵਾਕਥਰੂ
ਹੇਠਾਂ ਦਿੱਤੇ ਕਦਮ ਇੱਕ ਫਲੈਟ ਡਿਜ਼ਾਈਨ ਦੇ ਨਾਲ SUPR ਨੂੰ ਲਾਗੂ ਕਰਨ ਦਾ ਵਰਣਨ ਕਰਦੇ ਹਨ:
- ਕਦਮ 1: ਸ਼ੁਰੂ ਕਰਨਾ
- ਕਦਮ 2: ਡਿਜ਼ਾਈਨ ਭਾਗ ਬਣਾਓ
- ਕਦਮ 3: ਪਲੇਸਮੈਂਟ ਅਤੇ ਰੂਟਿੰਗ ਖੇਤਰ ਨਿਰਧਾਰਤ ਕਰੋ
- ਕਦਮ 4: ਵਿਅਕਤੀਆਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰੋ
- ਕਦਮ 5: ਸੰਸ਼ੋਧਨ ਬਣਾਓ
- ਕਦਮ 6: ਬੇਸ ਰੀਵਿਜ਼ਨ ਕੰਪਾਇਲ ਕਰੋ
- ਕਦਮ 7: PR ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਸੈੱਟਅੱਪ ਕਰੋ
- ਕਦਮ 8: SUPR ਤਰਕ ਨੂੰ ਬਦਲੋ
- ਕਦਮ 9: ਬੋਰਡ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰੋ
ਚਿੱਤਰ 3. SUPR ਸੰਕਲਨ ਪ੍ਰਵਾਹ
1.5.1. ਕਦਮ 1: ਸ਼ੁਰੂ ਕਰਨਾ
ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨ ਲਈ files ਨੂੰ ਤੁਹਾਡੇ ਕੰਮ ਕਰਨ ਵਾਲੇ ਵਾਤਾਵਰਣ ਨਾਲ ਜੋੜੋ ਅਤੇ blinking_led ਫਲੈਟ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰੋ:
- ਸ਼ੁਰੂ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ, ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਡਾਊਨਲੋਡ ਕਰੋ Fileਸਫ਼ਾ 5 'ਤੇ ਹੈ।
- ਆਪਣੇ ਕੰਮ ਕਰਨ ਵਾਲੇ ਵਾਤਾਵਰਣ ਵਿੱਚ agilex_pcie_devkit_blinking_led_sup ਡਾਇਰੈਕਟਰੀ ਬਣਾਓ।
- ਡਾਊਨਲੋਡ ਕੀਤੇ ਟਿਊਟੋਰਿਅਲਸ/agilex_pcie_devkit_blinking_led/flat ਸਬ-ਫੋਲਡਰ ਨੂੰ agilex_pcie_devkit_blinking_led_sup ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ ਕਾਪੀ ਕਰੋ।
- Intel Quartus Prime Pro Edition ਸਾਫਟਵੇਅਰ ਵਿੱਚ, ਕਲਿੱਕ ਕਰੋ File ➤ ਪ੍ਰੋਜੈਕਟ ਖੋਲ੍ਹੋ ਅਤੇ /flat/blinking_led.qpf ਖੋਲ੍ਹੋ।
- ਬੇਸ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ, ਪ੍ਰੋਸੈਸਿੰਗ ➤ ਕੰਪਾਇਲੇਸ਼ਨ ਸ਼ੁਰੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਸੰਕਲਨ ਪੂਰਾ ਹੋਣ 'ਤੇ ਟਾਈਮਿੰਗ ਐਨਾਲਾਈਜ਼ਰ ਰਿਪੋਰਟਾਂ ਆਪਣੇ ਆਪ ਖੁੱਲ੍ਹ ਜਾਂਦੀਆਂ ਹਨ। ਤੁਸੀਂ ਫਿਲਹਾਲ ਟਾਈਮਿੰਗ ਐਨਾਲਾਈਜ਼ਰ ਨੂੰ ਬੰਦ ਕਰ ਸਕਦੇ ਹੋ।
1.5.2. ਕਦਮ 2: ਡਿਜ਼ਾਈਨ ਭਾਗ ਬਣਾਓ
ਹਰੇਕ ਖੇਤਰ ਲਈ ਡਿਜ਼ਾਈਨ ਭਾਗ ਬਣਾਓ ਜਿਸਨੂੰ ਤੁਸੀਂ ਅੰਸ਼ਕ ਤੌਰ 'ਤੇ ਮੁੜ ਸੰਰਚਿਤ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ। ਤੁਸੀਂ ਆਪਣੇ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਕਿੰਨੇ ਵੀ ਸੁਤੰਤਰ ਭਾਗ ਜਾਂ PR ਖੇਤਰ ਬਣਾ ਸਕਦੇ ਹੋ। PR ਭਾਗ ਵਜੋਂ u_blinking_led ਉਦਾਹਰਨ ਲਈ ਡਿਜ਼ਾਈਨ ਭਾਗ ਬਣਾਉਣ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ, ਅਤੇ SUPR ਖੇਤਰ ਵਜੋਂ u_top_counter ਉਦਾਹਰਨ ਲਈ:
- ਪ੍ਰੋਜੈਕਟ ਨੈਵੀਗੇਟਰ ਵਿੱਚ u_blinking_led ਉਦਾਹਰਨ ਉੱਤੇ ਸੱਜਾ-ਕਲਿੱਕ ਕਰੋ ਅਤੇ ਡਿਜ਼ਾਈਨ ਭਾਗ ਉੱਤੇ ਕਲਿਕ ਕਰੋ
➤ ਮੁੜ-ਸੰਰਚਨਾਯੋਗ। ਇੱਕ ਡਿਜ਼ਾਇਨ ਭਾਗ ਆਈਕਨ ਹਰੇਕ ਉਦਾਹਰਣ ਦੇ ਅੱਗੇ ਦਿਖਾਈ ਦਿੰਦਾ ਹੈ ਜੋ ਇੱਕ ਭਾਗ ਵਜੋਂ ਸੈੱਟ ਕੀਤਾ ਗਿਆ ਹੈ।
ਚਿੱਤਰ 4. ਡਿਜ਼ਾਈਨ ਭਾਗ ਬਣਾਉਣਾ - u_top_counter ਉਦਾਹਰਨ ਲਈ ਭਾਗ ਬਣਾਉਣ ਲਈ ਕਦਮ 1 ਨੂੰ ਦੁਹਰਾਓ।
- ਅਸਾਈਨਮੈਂਟਸ ➤ ਡਿਜ਼ਾਈਨ ਭਾਗ ਵਿੰਡੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਵਿੰਡੋ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਸਾਰੇ ਡਿਜ਼ਾਈਨ ਭਾਗਾਂ ਨੂੰ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦੀ ਹੈ।
ਚਿੱਤਰ 5. ਡਿਜ਼ਾਇਨ ਭਾਗ ਵਿੰਡੋ
- pr_partition ਦਾ ਨਾਂ ਬਦਲਣ ਲਈ blinking_led ਭਾਗ ਨਾਮ ਸੈੱਲ 'ਤੇ ਦੋ ਵਾਰ ਕਲਿੱਕ ਕਰੋ। ਇਸੇ ਤਰ੍ਹਾਂ, top_counter ਭਾਗ ਦਾ ਨਾਂ ਬਦਲ ਕੇ supr_partition ਕਰੋ।
ਵਿਕਲਪਿਕ ਤੌਰ 'ਤੇ, blinking_led.qsf ਵਿੱਚ ਹੇਠ ਲਿਖੀਆਂ ਲਾਈਨਾਂ ਜੋੜਨ ਨਾਲ ਇਹ ਭਾਗ ਬਣਦੇ ਹਨ:
set_instance_assignment -name PARTITION pr_partition \ -to u_blinking_led -entity top
set_instance_assignment -ਨਾਮ PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition \ -to u_top_counter -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -entity top
1.5.3 ਕਦਮ 3: ਪਲੇਸਮੈਂਟ ਅਤੇ ਰੂਟਿੰਗ ਖੇਤਰ ਨਿਰਧਾਰਤ ਕਰੋ
ਤੁਹਾਡੇ ਦੁਆਰਾ ਬਣਾਏ ਗਏ ਹਰੇਕ ਅਧਾਰ ਸੰਸ਼ੋਧਨ ਲਈ, ਕੰਪਾਈਲਰ ਰਿਜ਼ਰਵਡ ਖੇਤਰ ਵਿੱਚ ਸੰਬੰਧਿਤ ਵਿਅਕਤੀਗਤ ਕੋਰ ਨੂੰ ਰੱਖਣ ਲਈ PR ਭਾਗ ਖੇਤਰ ਵੰਡ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ। ਆਪਣੇ ਅਧਾਰ ਸੰਸ਼ੋਧਨ ਲਈ ਡਿਵਾਈਸ ਫਲੋਰਪਲਾਨ ਵਿੱਚ ਇੱਕ PR ਖੇਤਰ ਨੂੰ ਲੱਭਣ ਅਤੇ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
- ਪ੍ਰੋਜੈਕਟ ਨੇਵੀਗੇਟਰ ਲੜੀਵਾਰ ਟੈਬ ਵਿੱਚ, u_blinking_led ਉਦਾਹਰਨ ਉੱਤੇ ਸੱਜਾ-ਕਲਿੱਕ ਕਰੋ, ਅਤੇ ਫਿਰ Logic Lock Region ➤ ਨਵਾਂ ਤਰਕ ਲੌਕ ਖੇਤਰ ਬਣਾਓ ਤੇ ਕਲਿਕ ਕਰੋ। ਖੇਤਰ Logic Lock Regions ਵਿੰਡੋ ਵਿੱਚ ਦਿਖਾਈ ਦਿੰਦਾ ਹੈ।
- ਇੱਕ ਖੇਤਰ 5 ਦੀ ਚੌੜਾਈ ਅਤੇ 5 ਦੀ ਉਚਾਈ ਦਿਓ।
- ਮੂਲ ਕਾਲਮ ਵਿੱਚ u_blinking_led ਲਈ ਪਲੇਸਮੈਂਟ ਖੇਤਰ ਕੋਆਰਡੀਨੇਟਸ ਨਿਸ਼ਚਿਤ ਕਰੋ। ਮੂਲ ਖੇਤਰ ਦੇ ਹੇਠਲੇ-ਖੱਬੇ ਕੋਨੇ ਨਾਲ ਮੇਲ ਖਾਂਦਾ ਹੈ। X166_Y199 ਦੇ ਤੌਰ 'ਤੇ ਮੂਲ ਨਿਰਧਾਰਤ ਕਰੋ। ਕੰਪਾਈਲਰ (X170 Y203) ਨੂੰ ਸਿਖਰ-ਸੱਜੇ ਕੋਆਰਡੀਨੇਟ ਵਜੋਂ ਗਿਣਦਾ ਹੈ।
- ਖੇਤਰ ਲਈ ਰਿਜ਼ਰਵਡ ਅਤੇ ਕੋਰ-ਓਨਲੀ ਵਿਕਲਪਾਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ।
- ਰੂਟਿੰਗ ਖੇਤਰ ਵਿਕਲਪ 'ਤੇ ਦੋ ਵਾਰ ਕਲਿੱਕ ਕਰੋ। ਲਾਜਿਕ ਲਾਕ ਰੂਟਿੰਗ ਰੀਜਨ ਸੈਟਿੰਗਜ਼ ਡਾਇਲਾਗ ਬਾਕਸ ਦਿਖਾਈ ਦਿੰਦਾ ਹੈ।
- ਰੂਟਿੰਗ ਕਿਸਮ ਲਈ, ਵਿਸਤਾਰ ਨਾਲ ਸਥਿਰ ਚੁਣੋ। ਇਹ ਵਿਕਲਪ ਸਵੈਚਲਿਤ ਤੌਰ 'ਤੇ ਇੱਕ ਦੀ ਵਿਸਤਾਰ ਲੰਬਾਈ ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ।
- u_top_counter ਭਾਗ ਲਈ ਹੇਠਲੇ ਸਰੋਤ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਪਿਛਲੇ ਕਦਮਾਂ ਨੂੰ ਦੁਹਰਾਓ:
• ਉਚਾਈ—5
• ਚੌੜਾਈ—5
• ਮੂਲ—X173_Y199
• ਰੂਟਿੰਗ ਖੇਤਰ— ਇੱਕ ਦੇ ਵਿਸਤਾਰ ਦੀ ਲੰਬਾਈ ਦੇ ਨਾਲ ਵਿਸਤਾਰ ਨਾਲ ਸਥਿਰ।
• ਰਾਖਵਾਂ—ਚਾਲੂ
• ਸਿਰਫ਼-ਕੋਰ—ਚਾਲੂ
ਚਿੱਤਰ 6. ਤਰਕ ਲਾਕ ਖੇਤਰ ਵਿੰਡੋ
ਨੋਟ: ਕੰਪਾਈਲਰ ਦੇ ਰੂਟਿੰਗ ਲਈ ਵਾਧੂ ਲਚਕਤਾ ਪ੍ਰਦਾਨ ਕਰਨ ਲਈ, ਰਾਊਟਿੰਗ ਖੇਤਰ ਪਲੇਸਮੈਂਟ ਖੇਤਰ ਤੋਂ ਵੱਡਾ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ।tage, ਜਦੋਂ ਕੰਪਾਈਲਰ ਵੱਖ-ਵੱਖ ਵਿਅਕਤੀਆਂ ਨੂੰ ਰੂਟ ਕਰਦਾ ਹੈ।
- ਤੁਹਾਡੇ ਪਲੇਸਮੈਂਟ ਖੇਤਰ ਨੂੰ blinking_led logic ਨੂੰ ਨੱਥੀ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। ਚਿੱਪ ਪਲਾਨਰ ਵਿੱਚ ਨੋਡ ਦਾ ਪਤਾ ਲਗਾ ਕੇ ਪਲੇਸਮੈਂਟ ਖੇਤਰ ਦੀ ਚੋਣ ਕਰਨ ਲਈ, ਤਰਕ ਲੌਕ ਖੇਤਰ ਵਿੰਡੋ ਵਿੱਚ u_blinking_led ਖੇਤਰ ਦੇ ਨਾਮ 'ਤੇ ਸੱਜਾ-ਕਲਿੱਕ ਕਰੋ, ਅਤੇ ਫਿਰ Locate Node ➤ ਚਿੱਪ ਪਲਾਨਰ ਵਿੱਚ ਲੱਭੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
- ਭਾਗ ਰਿਪੋਰਟਾਂ ਦੇ ਅਧੀਨ, ਰਿਪੋਰਟ ਡਿਜ਼ਾਈਨ ਭਾਗਾਂ 'ਤੇ ਦੋ ਵਾਰ ਕਲਿੱਕ ਕਰੋ। ਚਿੱਪ ਪਲਾਨਰ ਖੇਤਰ ਨੂੰ ਉਜਾਗਰ ਕਰਦਾ ਹੈ ਅਤੇ ਰੰਗ ਕੋਡ ਕਰਦਾ ਹੈ।
ਚਿੱਤਰ 7. blinking_led ਲਈ ਚਿੱਪ ਪਲੈਨਰ ਨੋਡ ਟਿਕਾਣਾ
ਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, blinking_led.qsf ਵਿੱਚ ਹੇਠ ਲਿਖੀਆਂ ਲਾਈਨਾਂ ਜੋੜਨ ਨਾਲ ਇਹ ਖੇਤਰ ਬਣਦੇ ਹਨ:
set_instance_assignment -name PARTITION pr_partition -to\u_blinking_led -entity top
set_instance_assignment -ਨਾਮ PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition -to u_top_counter\ -entity top
set_instance_assignment -ਨਾਮ PARTIAL_RECONFIGURATION_PARTITION ON -to\u_top_counter -entity top
set_instance_assignment -name PLACE_REGION “X166 Y199 X170 Y203” -to \u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -name ROUTE_REGION “X165 Y198 X171 Y204” -to\u_blinking_led
set_instance_assignment -ਨਾਮ RESERVE_ROUTE_REGION OFF -to u_blinking_led
set_instance_assignment -name PLACE_REGION “X173 Y199 X177 Y203” -to\u_top_counter
set_instance_assignment -ਨਾਮ RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -name ROUTE_REGION “X172 Y198 X178 Y204” -to\u_top_counter
set_instance_assignment -ਨਾਮ RESERVE_ROUTE_REGION OFF -to u_top_counter
1.5.4 ਕਦਮ 4: ਵਿਅਕਤੀਆਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰੋ
ਇਹ ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਸਿੰਗਲ PR ਭਾਗ ਲਈ ਤਿੰਨ ਵੱਖ-ਵੱਖ ਵਿਅਕਤੀਆਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਦਾ ਹੈ, ਅਤੇ SUPR ਖੇਤਰ ਲਈ ਇੱਕ SUPR ਵਿਅਕਤੀਤਾ। ਆਪਣੇ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਇਹਨਾਂ ਵਿਅਕਤੀਆਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨ ਅਤੇ ਸ਼ਾਮਲ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ। ਜੇਕਰ Intel Quartus Prime Text Editor ਦੀ ਵਰਤੋਂ ਕਰ ਰਹੇ ਹੋ, ਤਾਂ Add ਨੂੰ ਅਯੋਗ ਕਰੋ file
ਨੂੰ ਸੰਭਾਲਣ ਵੇਲੇ ਮੌਜੂਦਾ ਪ੍ਰੋਜੈਕਟ ਲਈ files.
- ਨਵਾਂ blinking_led_slow.sv, blinking_led_empty.sv, ਅਤੇ top_counter_fast.sv SystemVerilog ਬਣਾਓ fileਤੁਹਾਡੀ ਵਰਕਿੰਗ ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ s. ਪੁਸ਼ਟੀ ਕਰੋ ਕਿ blinking_led.sv ਪਹਿਲਾਂ ਹੀ ਵਰਕਿੰਗ ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ ਮੌਜੂਦ ਹੈ।
- SystemVerilog ਲਈ ਹੇਠ ਲਿਖੀਆਂ ਸਮੱਗਰੀਆਂ ਦਿਓ files:
ਸਾਰਣੀ 2. ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ ਵਿਅਕਤੀ ਸਿਸਟਮ ਵੇਰੀਲੌਗ
File ਨਾਮ ਵਰਣਨ ਕੋਡ blinking_led_slow। sv LEDs ਹੌਲੀ ਝਪਕਦੀਆਂ ਹਨ ਟਾਈਮਸਕੇਲ 1 ps / 1 ps 'default_nettype ਕੋਈ ਨਹੀਂ
ਮੋਡੀਊਲ blinking_led_slow // ਘੜੀ
ਇਨਪੁਟ ਵਾਇਰ ਕਲਾਕ, ਇਨਪੁਟ ਵਾਇਰ ਰੀਸੈਟ, ਇਨਪੁਟ ਵਾਇਰ [31:01 ਕਾਊਂਟਰ,
// LEDs ਆਉਟਪੁੱਟ ਤਾਰ ਲਈ ਕੰਟਰੋਲ ਸਿਗਨਲ led_two_on,
ਆਉਟਪੁੱਟ ਵਾਇਰ led_three_on localparam COUNTER_TAP = 27;
reg led_two_on_r; leg led_three_on_r; ਅਸਾਈਨ led_two_on = led_two_on_r; ਅਸਾਈਨ led_three_on = led_three_on_r; always_ff @(posdge clock) start led_two_on_r <= counter[COUNTER_TAP]; led_three_on_r <= counter[COUNTER_TAP]; ਅੰਤ endmoduleblinking_led_empty। sv LED ਚਾਲੂ ਰਹਿੰਦੇ ਹਨ ਟਾਈਮਸਕੇਲ 1 ps / 1 ps 'default_nettype none ਮੋਡੀਊਲ blinking_led_empty( // ਘੜੀ ਇਨਪੁਟ ਵਾਇਰ ਘੜੀ, ਇਨਪੁਟ ਵਾਇਰ ਰੀਸੈਟ, ਇਨਪੁਟ ਵਾਇਰ [31:01 ਕਾਊਂਟਰ, // LEC- ਆਉਟਪੁੱਟ ਵਾਇਰ led_two_on, ਆਉਟਪੁੱਟ ਵਾਇਰ led_three ਲਈ ਕੰਟਰੋਲ ਸਿਗਨਲ ਜਾਰੀ… File ਨਾਮ ਵਰਣਨ ਕੋਡ // LED ਸਰਗਰਮ ਹੈ ਘੱਟ ਅਸਾਈਨ led_two_on = l'IDO; led_three_on = 11b0 ਨਿਰਧਾਰਤ ਕਰੋ; endmodule top_counter_fast.sv ਦੂਜਾ SUPR ਟਾਈਮਸਕੇਲ 1 ps / 1 ps ਵਿਅਕਤੀ Thdefault_nettype none ਮੋਡੀਊਲ top_counter_fast // LEDs ਆਉਟਪੁੱਟ ਵਾਇਰ led_one_on, ਆਉਟਪੁੱਟ ਵਾਇਰ [31:0] ਗਿਣਤੀ, // ਘੜੀ ਇਨਪੁਟ ਤਾਰ ਘੜੀ ਲਈ ਕੰਟਰੋਲ ਸਿਗਨਲ ); localparam COUNTER TAP = 23; reg [31:0] count_d; ਨਿਰਧਾਰਤ ਗਿਣਤੀ = count_d; led_one_on = ount_d[COUNTER_TAP] ਨਿਰਧਾਰਤ ਕਰੋ; always_ff @(posdge clock) start count_d <= count_d + 2; ਅੰਤ .:ਮੋਡਿਊਲ - ਕਲਿੱਕ ਕਰੋ File ➤ ਇਸ ਤਰ੍ਹਾਂ ਸੇਵ ਕਰੋ ਅਤੇ .sv ਨੂੰ ਸੇਵ ਕਰੋ fileਮੌਜੂਦਾ ਪ੍ਰੋਜੈਕਟ ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ s.
1.5.5 ਕਦਮ 5: ਸੰਸ਼ੋਧਨ ਬਣਾਓ
PR ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਵਿੱਚ ਪ੍ਰੋਜੈਕਟ ਸੰਸ਼ੋਧਨ ਵਿਸ਼ੇਸ਼ਤਾ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ। ਤੁਹਾਡਾ ਸ਼ੁਰੂਆਤੀ ਡਿਜ਼ਾਈਨ ਬੇਸ ਰੀਵਿਜ਼ਨ ਹੈ, ਜਿੱਥੇ ਤੁਸੀਂ FPGA 'ਤੇ ਸਥਿਰ ਖੇਤਰ ਦੀਆਂ ਸੀਮਾਵਾਂ ਅਤੇ ਮੁੜ ਸੰਰਚਨਾਯੋਗ ਖੇਤਰਾਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਦੇ ਹੋ। ਅਧਾਰ ਸੰਸ਼ੋਧਨ ਤੋਂ, ਤੁਸੀਂ ਵਾਧੂ ਸੰਸ਼ੋਧਨ ਬਣਾਉਂਦੇ ਹੋ। ਇਹਨਾਂ ਸੰਸ਼ੋਧਨਾਂ ਵਿੱਚ PR ਖੇਤਰਾਂ ਲਈ ਵੱਖ-ਵੱਖ ਲਾਗੂਕਰਨ ਸ਼ਾਮਲ ਹਨ। ਹਾਲਾਂਕਿ, ਸਾਰੇ PR ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਅਧਾਰ ਸੰਸ਼ੋਧਨ ਤੋਂ ਇੱਕੋ ਉੱਚ-ਪੱਧਰੀ ਪਲੇਸਮੈਂਟ ਅਤੇ ਰੂਟਿੰਗ ਨਤੀਜਿਆਂ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹਨ। ਇੱਕ PR ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ, ਤੁਸੀਂ ਹਰੇਕ ਵਿਅਕਤੀ ਲਈ ਇੱਕ PR ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਬਣਾਉਂਦੇ ਹੋ। ਇਸ ਤੋਂ ਇਲਾਵਾ, ਤੁਹਾਨੂੰ ਹਰੇਕ ਸੰਸ਼ੋਧਨ ਲਈ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਅਧਾਰ ਜਾਂ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਪਰਸੋਨਾ ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਕਿਸਮ ਨਿਰਧਾਰਤ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ। ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ ਹਰ ਇੱਕ ਸੰਸ਼ੋਧਨ ਲਈ ਸੰਸ਼ੋਧਨ ਨਾਮ ਅਤੇ ਸੰਸ਼ੋਧਨ ਕਿਸਮ ਦੀ ਸੂਚੀ ਦਿੰਦੀ ਹੈ। impl_blinking_led_supr_new.qsf ਸੰਸ਼ੋਧਨ SUPR ਵਿਅਕਤੀਗਤ ਲਾਗੂਕਰਨ ਹੈ।
ਸਾਰਣੀ 3. ਸੰਸ਼ੋਧਨ ਨਾਮ ਅਤੇ ਕਿਸਮਾਂ
ਸੰਸ਼ੋਧਨ ਨਾਮ | ਸੰਸ਼ੋਧਨ ਦੀ ਕਿਸਮ |
blinking_led | ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਅਧਾਰ |
blinking_led_default | ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਪਰਸੋਨਾ ਲਾਗੂ ਕਰਨਾ |
blinking_led_slow | ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਪਰਸੋਨਾ ਲਾਗੂ ਕਰਨਾ |
blinking_led_empty | ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਪਰਸੋਨਾ ਲਾਗੂ ਕਰਨਾ |
impl_blinking_led_supr_new | ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਪਰਸੋਨਾ ਲਾਗੂ ਕਰਨਾ |
1.5.5.1. ਬੇਸ ਰੀਵਿਜ਼ਨ ਸੈੱਟ ਕਰਨਾ
blinking_led ਨੂੰ ਬੇਸ ਰੀਵਿਜ਼ਨ ਦੇ ਤੌਰ 'ਤੇ ਸੈੱਟ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
- ਪ੍ਰੋਜੈਕਟ ➤ ਸੰਸ਼ੋਧਨ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
- ਸੰਸ਼ੋਧਨ ਕਿਸਮ ਲਈ, ਅਧੂਰਾ ਮੁੜ ਸੰਰਚਨਾ - ਅਧਾਰ ਚੁਣੋ।
ਇਹ ਕਦਮ blinking_led.qsf ਵਿੱਚ ਹੇਠਾਂ ਦਿੱਤੇ ਨੂੰ ਜੋੜਦਾ ਹੈ:
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
1.5.5.2. ਲਾਗੂ ਸੰਸ਼ੋਧਨ ਬਣਾਉਣਾ
ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਬਣਾਉਣ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
- ਸੰਸ਼ੋਧਨ ਡਾਇਲਾਗ ਬਾਕਸ ਵਿੱਚ, < 'ਤੇ ਦੋ ਵਾਰ ਕਲਿੱਕ ਕਰੋ >।
- ਸੰਸ਼ੋਧਨ ਨਾਮ ਵਿੱਚ, blinking_led_default ਨਿਰਧਾਰਤ ਕਰੋ ਅਤੇ ਸੰਸ਼ੋਧਨ ਦੇ ਅਧਾਰ ਤੇ ਲਈ blinking_led ਦੀ ਚੋਣ ਕਰੋ।
- ਸੰਸ਼ੋਧਨ ਕਿਸਮ ਲਈ, ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਪਰਸੋਨਾ ਲਾਗੂਕਰਨ ਦੀ ਚੋਣ ਕਰੋ।
- ਮੌਜੂਦਾ ਸੰਸ਼ੋਧਨ ਵਿਕਲਪ ਦੇ ਤੌਰ 'ਤੇ ਸੈੱਟ ਕਰੋ ਨੂੰ ਅਯੋਗ ਕਰੋ।
- ਹੋਰ ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨਾਂ ਲਈ ਸੰਸ਼ੋਧਨ ਕਿਸਮ ਨੂੰ ਸੈੱਟ ਕਰਨ ਲਈ ਕਦਮ 2 ਤੋਂ 5 ਦੁਹਰਾਓ:
ਸੰਸ਼ੋਧਨ ਨਾਮ | ਸੰਸ਼ੋਧਨ ਦੀ ਕਿਸਮ | Revisio 'ਤੇ ਆਧਾਰਿਤn |
blinking_led_slow | ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਪਰਸੋਨਾ ਲਾਗੂ ਕਰਨਾ | blinking_led |
blinking_led_empty | ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਪਰਸੋਨਾ ਲਾਗੂ ਕਰਨਾ | blinking_led |
impl_blinking_led_supr_new | ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਪਰਸੋਨਾ ਲਾਗੂ ਕਰਨਾ | blinking_led |
ਚਿੱਤਰ 8. ਲਾਗੂ ਸੰਸ਼ੋਧਨ ਬਣਾਉਣਾ
ਹਰੇਕ .qsf file ਹੁਣ ਹੇਠ ਦਿੱਤੀ ਅਸਾਈਨਮੈਂਟ ਸ਼ਾਮਲ ਹੈ:
set_global_assignment -ਨਾਮ REVISION_TYPE PR_IMPL
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_top_counter
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_blinking_led
1.5.6 ਕਦਮ 6: ਬੇਸ ਰੀਵਿਜ਼ਨ ਕੰਪਾਇਲ ਕਰੋ
ਬੇਸ ਰੀਵਿਜ਼ਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਅਤੇ ਨਵੇਂ PR ਵਿਅਕਤੀਆਂ ਲਈ ਲਾਗੂ ਸੰਸ਼ੋਧਨਾਂ ਵਿੱਚ ਬਾਅਦ ਵਿੱਚ ਵਰਤੋਂ ਲਈ ਸਥਿਰ ਅਤੇ SUPR ਖੇਤਰਾਂ ਨੂੰ ਨਿਰਯਾਤ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
- blinking_led ਨੂੰ ਮੌਜੂਦਾ ਸੰਸ਼ੋਧਨ ਦੇ ਤੌਰ 'ਤੇ ਸੈੱਟ ਕਰੋ ਜੇਕਰ ਪਹਿਲਾਂ ਤੋਂ ਸੈੱਟ ਨਹੀਂ ਕੀਤਾ ਗਿਆ ਹੈ।
- ਡਿਜ਼ਾਈਨ ਭਾਗ ਵਿੰਡੋ ਵਿੱਚ, ਸਭ ਤੋਂ ਦੂਰ ਸੱਜੇ ਕਾਲਮ ਦੇ ਨਾਲ ਲਗਦੇ (…) 'ਤੇ ਕਲਿੱਕ ਕਰੋ ਅਤੇ ਪੋਸਟ ਫਾਈਨਲ ਐਕਸਪੋਰਟ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ। File ਕਾਲਮ ਤੁਸੀਂ ਕਾਲਮਾਂ ਦੇ ਕ੍ਰਮ ਨੂੰ ਅਯੋਗ ਜਾਂ ਬਦਲ ਸਕਦੇ ਹੋ।
- ਹਰੇਕ ਸੰਕਲਨ ਤੋਂ ਬਾਅਦ PR ਲਾਗੂਕਰਨ ਡਿਜ਼ਾਇਨ ਭਾਗਾਂ ਦੇ ਅੰਤਿਮ ਸਨੈਪਸ਼ਾਟ ਨੂੰ ਆਟੋਮੈਟਿਕਲੀ ਨਿਰਯਾਤ ਕਰਨ ਲਈ, ਪੋਸਟ ਫਾਈਨਲ ਐਕਸਪੋਰਟ ਲਈ ਹੇਠਾਂ ਦਿੱਤੇ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰੋ File ਰੂਟ ਅਤੇ SUPR ਭਾਗਾਂ ਲਈ ਵਿਕਲਪ। .qdb files ਨੂੰ ਮੂਲ ਰੂਪ ਵਿੱਚ ਪ੍ਰੋਜੈਕਟ ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ ਨਿਰਯਾਤ ਕਰੋ।
• root_partition — blinking_led_static.qdb
• supr_partition — blinking_led_supr_partition_final.qdb
ਚਿੱਤਰ 9. ਡਿਜ਼ਾਈਨ ਭਾਗ ਵਿੰਡੋ ਵਿੱਚ ਆਟੋ ਐਕਸਪੋਰਟਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, ਹੇਠਾਂ ਦਿੱਤੇ .qsf ਅਸਾਈਨਮੈਂਟ ਹਰੇਕ ਸੰਕਲਨ ਤੋਂ ਬਾਅਦ ਭਾਗਾਂ ਨੂੰ ਆਪਣੇ ਆਪ ਨਿਰਯਾਤ ਕਰਦੇ ਹਨ:
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL\blinking_led_static.qdb -to | - ਇਕਾਈ ਸਿਖਰ
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL\blinking_led_supr_partition_final.qdb -to u_top_counter\-entity top - blinking_led ਬੇਸ ਰੀਵਿਜ਼ਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ, ਪ੍ਰੋਸੈਸਿੰਗ ➤ ਸਟਾਰਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ
ਸੰਕਲਨ. ਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, ਤੁਸੀਂ ਇਸ ਸੰਸ਼ੋਧਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ ਹੇਠ ਦਿੱਤੀ ਕਮਾਂਡ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ:
quartus_sh –flow ਕੰਪਾਈਲ blinking_led -c blinking_led ਸਫਲ ਸੰਕਲਨ ਤੋਂ ਬਾਅਦ, ਹੇਠਾਂ ਦਿੱਤੇ files ਪ੍ਰੋਜੈਕਟ ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ ਦਿਖਾਈ ਦਿੰਦਾ ਹੈ:
• blinking_led.sof
• blinking_led.pr_partition.rbf
• blinking_led.supr_partition.rbf
• blinking_led_static.qdb
• blinking_led_supr_partition_final.qdb
1.5.7 ਕਦਮ 7: PR ਲਾਗੂ ਕਰਨ ਦੇ ਸੰਸ਼ੋਧਨ ਸੈੱਟਅੱਪ ਕਰੋ
ਇਸ ਤੋਂ ਪਹਿਲਾਂ ਕਿ ਤੁਸੀਂ ਡਿਵਾਈਸ ਪ੍ਰੋਗਰਾਮਿੰਗ ਲਈ PR ਬਿੱਟਸਟ੍ਰੀਮ ਤਿਆਰ ਕਰ ਸਕੋ, ਤੁਹਾਨੂੰ PR ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨਾਂ ਨੂੰ ਤਿਆਰ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। ਇਸ ਸੈੱਟਅੱਪ ਵਿੱਚ ਸਥਿਰ ਖੇਤਰ .qdb ਸ਼ਾਮਲ ਕਰਨਾ ਸ਼ਾਮਲ ਹੈ file ਸਰੋਤ ਦੇ ਤੌਰ ਤੇ file ਹਰੇਕ ਲਾਗੂ ਸੰਸ਼ੋਧਨ ਲਈ। ਇਸ ਦੇ ਨਾਲ, ਤੁਹਾਨੂੰ ਨਿਰਧਾਰਿਤ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ
PR ਖੇਤਰ ਦੀ ਅਨੁਸਾਰੀ ਹਸਤੀ। PR ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨਾਂ ਨੂੰ ਸੈੱਟਅੱਪ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
- ਮੌਜੂਦਾ ਸੰਸ਼ੋਧਨ ਨੂੰ ਸੈੱਟ ਕਰਨ ਲਈ, ਪ੍ਰੋਜੈਕਟ ➤ ਸੰਸ਼ੋਧਨ 'ਤੇ ਕਲਿੱਕ ਕਰੋ, ਸੰਸ਼ੋਧਨ ਨਾਮ ਦੇ ਤੌਰ 'ਤੇ blinking_led_default ਦੀ ਚੋਣ ਕਰੋ, ਅਤੇ ਫਿਰ ਮੌਜੂਦਾ ਸੈੱਟ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, ਤੁਸੀਂ ਮੁੱਖ Intel Quartus Prime ਟੂਲਬਾਰ 'ਤੇ ਮੌਜੂਦਾ ਸੰਸ਼ੋਧਨ ਦੀ ਚੋਣ ਕਰ ਸਕਦੇ ਹੋ।
- ਇਸ ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਲਈ ਸਹੀ ਸਰੋਤ ਦੀ ਪੁਸ਼ਟੀ ਕਰਨ ਲਈ, ਪ੍ਰੋਜੈਕਟ ➤ ਜੋੜੋ/ਹਟਾਓ 'ਤੇ ਕਲਿੱਕ ਕਰੋ Fileਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਐੱਸ. ਪੁਸ਼ਟੀ ਕਰੋ ਕਿ blinking_led.sv file ਵਿੱਚ ਪ੍ਰਗਟ ਹੁੰਦਾ ਹੈ file ਸੂਚੀ
- ਸਹੀ ਸਰੋਤ ਦੀ ਪੁਸ਼ਟੀ ਕਰਨ ਲਈ file ਲਾਗੂ ਕਰਨ ਦੇ ਸੰਸ਼ੋਧਨਾਂ ਲਈ, ਪ੍ਰੋਜੈਕਟ ➤ ਜੋੜੋ/ਹਟਾਓ 'ਤੇ ਕਲਿੱਕ ਕਰੋ fileਪ੍ਰੋਜੈਕਟ ਵਿੱਚ s, ਅਤੇ ਹੇਠ ਦਿੱਤੇ ਸਰੋਤ ਨੂੰ ਜੋੜੋ fileਲਾਗੂ ਸੋਧਾਂ ਲਈ s. ਜੇਕਰ ਮੌਜੂਦ ਹੈ, ਤਾਂ ਪ੍ਰੋਜੈਕਟ ਦੀ ਸੂਚੀ ਵਿੱਚੋਂ blinking_led.sv ਨੂੰ ਹਟਾਓ files.
Iਸੰਸ਼ੋਧਨ ਦਾ ਨਾਮ ਸਰੋਤ File blinking_led_empty blinking_led_empty.sv blinking_led_slow blinking_led_slow.sv - blinking_led_default ਨੂੰ ਮੌਜੂਦਾ ਸੰਸ਼ੋਧਨ ਵਜੋਂ ਸੈੱਟ ਕਰੋ।
- .qdb ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ file ਰੂਟ_ਪਾਰਟੀਸ਼ਨ ਲਈ ਸਰੋਤ ਵਜੋਂ, ਅਸਾਈਨਮੈਂਟ ➤ ਡਿਜ਼ਾਈਨ ਭਾਗ ਵਿੰਡੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਭਾਗ ਡਾਟਾਬੇਸ 'ਤੇ ਦੋ ਵਾਰ ਕਲਿੱਕ ਕਰੋ File ਸੈੱਲ ਅਤੇ blinking_led_static.qdb ਨਿਰਧਾਰਤ ਕਰੋ file.
- ਇਸੇ ਤਰ੍ਹਾਂ, blinking_led_supr_partition_final.qdb ਨੂੰ ਭਾਗ ਡਾਟਾਬੇਸ ਦੇ ਤੌਰ ਤੇ ਦਿਓ। File supr_partition ਲਈ।
ਚਿੱਤਰ 10.
ਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, .qdb ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ ਹੇਠਾਂ ਦਿੱਤੇ .qsf ਅਸਾਈਨਮੈਂਟਾਂ ਦੀ ਵਰਤੋਂ ਕਰੋ:
set_instance_assignment -ਨਾਮ QDB_FILE_PARTITION\blinking_led_static.qdb -to |
set_instance_assignment -ਨਾਮ QDB_FILE_PARTITION\blinking_led_supr_partition_final.qdb -to u_top_counter - ਡਿਜ਼ਾਇਨ ਭਾਗ ਵਿੰਡੋ ਵਿੱਚ, ਸਭ ਤੋਂ ਦੂਰ ਸੱਜੇ ਕਾਲਮ ਦੇ ਨਾਲ ਲੱਗਦੇ (…) 'ਤੇ ਕਲਿੱਕ ਕਰੋ ਅਤੇ ਐਂਟਿਟੀ ਰੀ-ਬਾਈਡਿੰਗ ਕਾਲਮ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ।
-
ਇਕਾਈ ਰੀ-ਬਾਈਡਿੰਗ ਸੈੱਲ ਵਿੱਚ, ਮੌਜੂਦਾ ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਵਿੱਚ ਬਦਲ ਰਹੇ PR ਭਾਗ ਲਈ ਨਵੀਂ ਇਕਾਈ ਦਾ ਨਾਮ ਦਿਓ। blinking_led_default ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਲਈ, ਇਕਾਈ ਦਾ ਨਾਮ blinking_led ਹੈ। ਇਸ ਸਥਿਤੀ ਵਿੱਚ, ਤੁਸੀਂ ਬੇਸ ਰੀਵਿਜ਼ਨ ਕੰਪਾਇਲ ਤੋਂ u_blinking_led ਉਦਾਹਰਨ ਨੂੰ ਨਵੀਂ ਇਕਾਈ blinking_led ਨਾਲ ਓਵਰਰਾਈਟ ਕਰ ਰਹੇ ਹੋ। ਹੋਰ ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨਾਂ ਲਈ, ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ ਵੇਖੋ:
ਸੰਸ਼ੋਧਨ ਇਕਾਈ ਰੀ-ਬਾਈਡਿੰਗ ਮੁੱਲ blinking_led_slow blinking_led_slow blinking_led_empty blinking_led_empty ਚਿੱਤਰ 11. ਇਕਾਈ ਰੀਬਾਈਡਿੰਗ
ਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, ਤੁਸੀਂ ਅਸਾਈਨਮੈਂਟਾਂ ਨੂੰ ਸੈੱਟ ਕਰਨ ਲਈ ਹਰੇਕ ਸੰਸ਼ੋਧਨ ਦੇ .qsf ਵਿੱਚ ਹੇਠ ਲਿਖੀਆਂ ਲਾਈਨਾਂ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ:
##blinking_led_default.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led \ -to u_blinking_led
##blinking_led_slow.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
##blinking_led_empty.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - supr_partition ਲਈ ਐਂਟਿਟੀ ਰੀ-ਬਾਈਡਿੰਗ ਸੈੱਲ ਤੋਂ ਪਲੇਸ_ਹੋਲਡਰ ਟੈਕਸਟ ਨੂੰ ਮਿਟਾਓ।
- ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ, ਪ੍ਰੋਸੈਸਿੰਗ ➤ ਕੰਪਾਈਲ ਸ਼ੁਰੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, ਇਸ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ ਹੇਠ ਦਿੱਤੀ ਕਮਾਂਡ ਦੀ ਵਰਤੋਂ ਕਰੋ: quartus_sh –flow compile blinking_led –c blinking_led_default
- blinking_led_slow ਅਤੇ blinking_led_empty ਲਾਗੂ ਸੰਸ਼ੋਧਨਾਂ ਨੂੰ ਤਿਆਰ ਕਰਨ ਅਤੇ ਕੰਪਾਇਲ ਕਰਨ ਲਈ ਕਦਮ 4 ਤੋਂ 11 ਤੱਕ ਦੁਹਰਾਓ।
1.5.8 ਕਦਮ 8: SUPR ਤਰਕ ਨੂੰ ਬਦਲੋ
SUPR ਭਾਗ ਦੇ ਅੰਦਰ ਤਰਕ ਦੀ ਕਾਰਜਸ਼ੀਲਤਾ ਨੂੰ ਬਦਲਣ ਲਈ, ਤੁਹਾਨੂੰ SUPR ਭਾਗ ਸਰੋਤ ਨੂੰ ਬਦਲਣਾ ਪਵੇਗਾ। SUPR ਭਾਗ ਵਿੱਚ u_top_counter ਉਦਾਹਰਨ ਨੂੰ top_counter_fast ਇਕਾਈ ਨਾਲ ਬਦਲਣ ਲਈ ਹੇਠਾਂ ਦਿੱਤੇ ਕਦਮਾਂ ਨੂੰ ਪੂਰਾ ਕਰੋ।
- SUPR ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਨੂੰ ਮੌਜੂਦਾ ਦੇ ਤੌਰ 'ਤੇ ਸੈੱਟ ਕਰਨ ਲਈ, ਪ੍ਰੋਜੈਕਟ ➤ ਸੰਸ਼ੋਧਨ 'ਤੇ ਕਲਿੱਕ ਕਰੋ ਅਤੇ ਮੌਜੂਦਾ ਸੰਸ਼ੋਧਨ ਦੇ ਤੌਰ 'ਤੇ impl_blinking_led_supr_new ਸੈੱਟ ਕਰੋ, ਜਾਂ ਚੁਣੋ।
Intel Quartus Prime ਮੁੱਖ ਟੂਲਬਾਰ 'ਤੇ ਸੰਸ਼ੋਧਨ। - ਸਹੀ ਸਰੋਤ ਦੀ ਪੁਸ਼ਟੀ ਕਰਨ ਲਈ file ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਲਈ, ਪ੍ਰੋਜੈਕਟ ➤ 'ਤੇ ਕਲਿੱਕ ਕਰੋ
ਜੋੜੋ/ਹਟਾਓ fileਪ੍ਰੋਜੈਕਟ ਵਿੱਚ s, ਅਤੇ ਪੁਸ਼ਟੀ ਕਰੋ ਕਿ top_counter_fast.sv impl_blinking_led_supr_new ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਲਈ ਸਰੋਤ ਹੈ। ਜੇਕਰ ਮੌਜੂਦ ਹੈ, ਤਾਂ ਪ੍ਰੋਜੈਕਟ ਦੀ ਸੂਚੀ ਵਿੱਚੋਂ top_counter.sv ਨੂੰ ਹਟਾਓ files. - .qdb ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ file ਰੂਟ ਭਾਗ ਨਾਲ ਸੰਬੰਧਿਤ, ਅਸਾਈਨਮੈਂਟ ➤ ਡਿਜ਼ਾਈਨ ਭਾਗ ਵਿੰਡੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ, ਅਤੇ ਫਿਰ ਭਾਗ ਡੇਟਾਬੇਸ 'ਤੇ ਦੋ ਵਾਰ ਕਲਿੱਕ ਕਰੋ। File blinking_led_static.qdb ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਸੈੱਲ।
ਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, ਇਸ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਹੇਠ ਦਿੱਤੀ ਕਮਾਂਡ ਦੀ ਵਰਤੋਂ ਕਰੋ file: set_instance_assignment -ਨਾਮ QDB_FILE_PARTITION\blinking_led_static.qdb -to | - pr_partition ਲਈ ਇਕਾਈ ਰੀ-ਬਾਈਡਿੰਗ ਸੈੱਲ ਵਿੱਚ, ਉਚਿਤ ਇਕਾਈ ਦਾ ਨਾਮ ਦਿਓ। ਇਸ ਲਈ ਸਾਬਕਾample, blinking_led_empty ਇਕਾਈ ਨਿਰਧਾਰਤ ਕਰੋ। ਇਸ ਸਥਿਤੀ ਵਿੱਚ, ਤੁਸੀਂ ਨਵੀਂ ਇਕਾਈ linking_led_empty ਨਾਲ ਅਧਾਰ ਸੰਸ਼ੋਧਨ ਕੰਪਾਈਲ ਤੋਂ u_blinking_led ਉਦਾਹਰਣ ਨੂੰ ਓਵਰਰਾਈਟ ਕਰ ਰਹੇ ਹੋ। ਹੇਠ ਦਿੱਤੀ ਲਾਈਨ ਹੁਣ .qsf ਵਿੱਚ ਮੌਜੂਦ ਹੈ:
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - supr_partition ਲਈ ਐਂਟਿਟੀ ਰੀ-ਬਾਈਡਿੰਗ ਸੈੱਲ ਵਿੱਚ, top_counter_fast ਇਕਾਈ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰੋ। top_counter_fast ਸਥਿਰ ਇਕਾਈ ਦਾ ਨਾਮ ਹੈ ਜੋ u_top_counter ਨੂੰ ਬਦਲ ਦਿੰਦਾ ਹੈ ਜਦੋਂ ਤੁਸੀਂ SUPR ਨੂੰ ਪੂਰਾ ਕਰਦੇ ਹੋ।
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to u_top_counter
- ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ, ਪ੍ਰੋਸੈਸਿੰਗ ➤ ਕੰਪਾਈਲ ਸ਼ੁਰੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, ਇਸ ਪ੍ਰੋਜੈਕਟ ਦੇ ਸੰਸ਼ੋਧਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ ਹੇਠਾਂ ਦਿੱਤੀ ਕਮਾਂਡ ਦੀ ਵਰਤੋਂ ਕਰੋ: quartus_sh –flow compile blinking_led –c \ impl_blinking_led_supr_new
1.5.9 ਕਦਮ 9: ਬੋਰਡ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰੋ
Intel Agilex F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ ਨਾਲ ਜੁੜਨ ਅਤੇ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ।
- ਪਾਵਰ ਸਪਲਾਈ ਨੂੰ Intel Agilex F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ ਨਾਲ ਕਨੈਕਟ ਕਰੋ।
- ਆਪਣੇ PC USB ਪੋਰਟ ਅਤੇ ਵਿਕਾਸ ਬੋਰਡ 'ਤੇ USB ਪ੍ਰੋਗਰਾਮਿੰਗ ਹਾਰਡਵੇਅਰ ਵਿਚਕਾਰ ਇੱਕ USB ਕੇਬਲ ਕਨੈਕਟ ਕਰੋ।
- Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਖੋਲ੍ਹੋ, ਅਤੇ ਫਿਰ Tools ➤ Programmer 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਪ੍ਰੋਗਰਾਮਿੰਗ ਇੱਕ ਵਿਕਾਸ ਬੋਰਡ ਨੂੰ ਵੇਖੋ।
- ਪ੍ਰੋਗਰਾਮਰ ਵਿੱਚ, ਹਾਰਡਵੇਅਰ ਸੈੱਟਅੱਪ 'ਤੇ ਕਲਿੱਕ ਕਰੋ, ਅਤੇ ਫਿਰ USB-ਬਲਾਸਟਰ ਦੀ ਚੋਣ ਕਰੋ।
- ਆਟੋ ਡਿਟੈਕਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ, ਅਤੇ ਫਿਰ AGFB014R24B ਡਿਵਾਈਸ ਚੁਣੋ।
- ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ. Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਬੋਰਡ 'ਤੇ ਤਿੰਨ FPGA ਡਿਵਾਈਸਾਂ ਨਾਲ ਪ੍ਰੋਗਰਾਮਰ ਨੂੰ ਖੋਜਦਾ ਅਤੇ ਅਪਡੇਟ ਕਰਦਾ ਹੈ।
- AGFB014R24B ਡਿਵਾਈਸ ਚੁਣੋ, ਬਦਲੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ File, ਅਤੇ blinking_led_default.sof ਨੂੰ ਲੋਡ ਕਰੋ file.
- blinking_led_default.sof ਲਈ ਪ੍ਰੋਗਰਾਮ/ਸੰਰਚਨਾ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ file.
- ਸਟਾਰਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ ਅਤੇ ਪ੍ਰਗਤੀ ਪੱਟੀ ਦੇ 100% ਤੱਕ ਪਹੁੰਚਣ ਦੀ ਉਡੀਕ ਕਰੋ।
- ਬੋਰਡ 'ਤੇ LED ਨੂੰ ਝਪਕਦੇ ਹੋਏ ਵੇਖੋ।
- ਸਿਰਫ਼ PR ਖੇਤਰ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ, blinking_led_default.sof 'ਤੇ ਸੱਜਾ-ਕਲਿੱਕ ਕਰੋ file ਪ੍ਰੋਗਰਾਮਰ ਵਿੱਚ ਅਤੇ ਐਡ ਪੀਆਰ ਪ੍ਰੋਗਰਾਮਿੰਗ 'ਤੇ ਕਲਿੱਕ ਕਰੋ File. blinking_led_slow.pr_partition.rbf ਚੁਣੋ file.
- blinking_led_default.sof ਲਈ ਪ੍ਰੋਗਰਾਮ/ਸੰਰਚਨਾ ਨੂੰ ਅਯੋਗ ਕਰੋ file.
- blinking_led_slow.pr_partition.rbf ਲਈ ਪ੍ਰੋਗਰਾਮ/ਸੰਰਚਨਾ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ file, ਅਤੇ ਫਿਰ ਸ਼ੁਰੂ 'ਤੇ ਕਲਿੱਕ ਕਰੋ. ਬੋਰਡ 'ਤੇ, LED[0] ਅਤੇ LED[1] ਨੂੰ ਲਗਾਤਾਰ ਝਪਕਦੇ ਹੋਏ ਵੇਖੋ। ਜਦੋਂ ਪ੍ਰਗਤੀ ਪੱਟੀ 100% ਤੱਕ ਪਹੁੰਚ ਜਾਂਦੀ ਹੈ, ਤਾਂ LED[2] ਅਤੇ LED[3] ਹੌਲੀ ਹੌਲੀ ਝਪਕਦੇ ਹਨ।
- PR ਖੇਤਰ ਨੂੰ ਮੁੜ-ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ, .rbf 'ਤੇ ਸੱਜਾ-ਕਲਿੱਕ ਕਰੋ file ਪ੍ਰੋਗਰਾਮਰ ਵਿੱਚ, ਅਤੇ ਫਿਰ ਚੇਂਜ PR ਪ੍ਰੋਗਰਾਮਿੰਗ 'ਤੇ ਕਲਿੱਕ ਕਰੋ File.
- .rbf ਚੁਣੋ fileਹੋਰ ਦੋ ਵਿਅਕਤੀਆਂ ਲਈ ਬੋਰਡ 'ਤੇ ਵਿਵਹਾਰ ਦੀ ਨਿਗਰਾਨੀ ਕਰਨ ਲਈ. blinking_led_default.pr_partition.rbf ਨੂੰ ਲੋਡ ਕੀਤਾ ਜਾ ਰਿਹਾ ਹੈ file ਅਸਲ ਬਾਰੰਬਾਰਤਾ 'ਤੇ LED ਨੂੰ ਝਪਕਦਾ ਹੈ, ਅਤੇ blinking_led_empty.pr_partition.rbf ਨੂੰ ਲੋਡ ਕਰਦਾ ਹੈ file LEDs ਨੂੰ ਚਾਲੂ ਰੱਖਣ ਦਾ ਕਾਰਨ ਬਣਦਾ ਹੈ। 17. SUPR ਤਰਕ ਨੂੰ ਬਦਲਣ ਲਈ, impl_blinking_led_supr_new.sof ਦੀ ਚੋਣ ਕਰਨ ਲਈ ਉੱਪਰ ਦਿੱਤੇ ਕਦਮ 7 ਨੂੰ ਦੁਹਰਾਓ। ਇਸ ਨੂੰ ਬਦਲਣ ਤੋਂ ਬਾਅਦ file, led [0:1] ਹੁਣ ਪਹਿਲਾਂ ਨਾਲੋਂ ਤੇਜ਼ੀ ਨਾਲ ਝਪਕਦੀ ਹੈ। ਹੋਰ PR .rbf files ਵੀ ਨਵੇਂ .sof ਦੇ ਅਨੁਕੂਲ ਹਨ।
ਨੋਟ: ਅਸੈਂਬਲਰ ਇੱਕ .rbf ਬਣਾਉਂਦਾ ਹੈ file SUPR ਖੇਤਰ ਲਈ. ਹਾਲਾਂਕਿ, ਤੁਹਾਨੂੰ ਇਸ ਦੀ ਵਰਤੋਂ ਨਹੀਂ ਕਰਨੀ ਚਾਹੀਦੀ file ਰਨਟਾਈਮ 'ਤੇ FPGA ਨੂੰ ਮੁੜ-ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਕਿਉਂਕਿ SUPR ਭਾਗ ਸਮੁੱਚੇ ਸਿਸਟਮ ਵਿੱਚ ਫ੍ਰੀਜ਼ ਬ੍ਰਿਜ, PR ਖੇਤਰ ਕੰਟਰੋਲਰ, ਅਤੇ ਹੋਰ ਤਰਕ ਨੂੰ ਚਾਲੂ ਨਹੀਂ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ SUPR ਭਾਗ ਤਰਕ ਵਿੱਚ ਬਦਲਾਅ ਕਰਦੇ ਹੋ, ਤਾਂ ਤੁਹਾਨੂੰ ਪੂਰੀ .sof ਨੂੰ ਮੁੜ-ਪ੍ਰੋਗਰਾਮ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ file SUPR ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਸੰਕਲਨ ਤੋਂ।
ਚਿੱਤਰ 12. ਵਿਕਾਸ ਬੋਰਡ ਦਾ ਪ੍ਰੋਗਰਾਮਿੰਗ
1.5.9.1. PR ਪ੍ਰੋਗਰਾਮਿੰਗ ਗਲਤੀਆਂ ਦਾ ਨਿਪਟਾਰਾ ਕਰਨਾ
Intel Quartus Prime Programmer ਅਤੇ ਕਨੈਕਟ ਕੀਤੇ ਹਾਰਡਵੇਅਰ ਦਾ ਸਹੀ ਸੈੱਟਅੱਪ ਯਕੀਨੀ ਬਣਾਉਣਾ PR ਪ੍ਰੋਗਰਾਮਿੰਗ ਦੌਰਾਨ ਕਿਸੇ ਵੀ ਤਰੁੱਟੀ ਤੋਂ ਬਚਣ ਵਿੱਚ ਮਦਦ ਕਰਦਾ ਹੈ।
ਜੇਕਰ ਤੁਹਾਨੂੰ ਕਿਸੇ ਵੀ PR ਪ੍ਰੋਗਰਾਮਿੰਗ ਗਲਤੀਆਂ ਦਾ ਸਾਹਮਣਾ ਕਰਨਾ ਪੈਂਦਾ ਹੈ, ਤਾਂ Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਯੂਜ਼ਰ ਗਾਈਡ ਵਿੱਚ "ਟ੍ਰਬਲਸ਼ੂਟਿੰਗ PR ਪ੍ਰੋਗਰਾਮਿੰਗ ਤਰੁਟੀਆਂ" ਵੇਖੋ: ਕਦਮ-ਦਰ-ਕਦਮ ਸਮੱਸਿਆ-ਨਿਪਟਾਰਾ ਸੁਝਾਅ ਲਈ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
PR ਪ੍ਰੋਗਰਾਮਿੰਗ ਗਲਤੀਆਂ ਦਾ ਨਿਪਟਾਰਾ ਕਰਨਾ
1.5.10 SUPR ਭਾਗ ਨੂੰ ਸੋਧਣਾ
ਤੁਸੀਂ ਮੌਜੂਦਾ SUPR ਭਾਗ ਨੂੰ ਸੋਧ ਸਕਦੇ ਹੋ। SUPR ਭਾਗ ਨੂੰ ਸੋਧਣ ਤੋਂ ਬਾਅਦ, ਤੁਹਾਨੂੰ ਇਸਨੂੰ ਕੰਪਾਇਲ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ, .sof ਤਿਆਰ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ file, ਅਤੇ ਹੋਰ ਵਿਅਕਤੀਆਂ ਨੂੰ ਕੰਪਾਇਲ ਕੀਤੇ ਬਿਨਾਂ ਬੋਰਡ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰੋ। ਸਾਬਕਾ ਲਈample, ਤੇਜ਼ੀ ਨਾਲ ਗਿਣਤੀ ਕਰਨ ਲਈ top_counter_fast.sv ਮੋਡੀਊਲ ਨੂੰ ਬਦਲਣ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
- ਮੌਜੂਦਾ ਸੰਸ਼ੋਧਨ ਦੇ ਤੌਰ 'ਤੇ impl_blinking_led_supr_new ਸੈੱਟ ਕਰੋ।
- top_counter_fast.sv ਵਿੱਚ file, count_d + 2 ਸਟੇਟਮੈਂਟ ਨੂੰ count_d + 4 ਨਾਲ ਬਦਲੋ।
- SUPR ਬਲਾਕ ਨੂੰ ਮੁੜ-ਸਿੰਥੇਸਾਈਜ਼ ਕਰਨ ਅਤੇ ਨਵਾਂ .sof ਬਣਾਉਣ ਲਈ ਹੇਠ ਲਿਖੀਆਂ ਕਮਾਂਡਾਂ ਚਲਾਓ। file: quartus_sh –flow compile blinking_led \ -c impl_blinking_led_supr_new
ਨਤੀਜੇ ਵਜੋਂ .sof ਵਿੱਚ ਹੁਣ ਨਵਾਂ SUPR ਖੇਤਰ ਸ਼ਾਮਲ ਹੈ, ਅਤੇ ਡਿਫੌਲਟ (ਪਾਵਰ-ਆਨ) ਵਿਅਕਤੀ ਲਈ blinking_led ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ।
1.6 AN 987 ਦਾ ਦਸਤਾਵੇਜ਼ ਸੰਸ਼ੋਧਨ ਇਤਿਹਾਸ: ਸਥਿਰ ਅੱਪਡੇਟ ਅਧੂਰਾ ਮੁੜ ਸੰਰਚਨਾ ਟਿਊਟੋਰਿਅਲ ਸੰਸ਼ੋਧਨ ਇਤਿਹਾਸ
ਦਸਤਾਵੇਜ਼ ਸੰਸਕਰਣ | Intel Quartus Prime ਸੰਸਕਰਣ | ਤਬਦੀਲੀਆਂ |
2022.10.24 | 22. | ਦਸਤਾਵੇਜ਼ ਦੀ ਸ਼ੁਰੂਆਤੀ ਰੀਲੀਜ਼. |
Intel® Quartus®Prime ਡਿਜ਼ਾਈਨ ਸੂਟ ਲਈ ਅੱਪਡੇਟ ਕੀਤਾ ਗਿਆ: 22.3
ਪ੍ਰਮੁੱਖ ਅਕਸਰ ਪੁੱਛੇ ਜਾਂਦੇ ਸਵਾਲਾਂ ਦੇ ਜਵਾਬ:
ਫੀਡਬੈਕ ਭੇਜੋ
Q ਸਥਿਰ ਅੱਪਡੇਟ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਕੀ ਹੈ
ਸਫ਼ਾ 3 'ਤੇ ਇੱਕ ਸਥਿਰ ਅੱਪਡੇਟ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ
Q ਮੈਨੂੰ ਇਸ ਟਿਊਟੋਰਿਅਲ ਲਈ ਕੀ ਚਾਹੀਦਾ ਹੈ?
ਪੰਨਾ 3 'ਤੇ ਟਿਊਟੋਰਿਅਲ ਦੀਆਂ ਲੋੜਾਂ
Q ਮੈਨੂੰ ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ ਕਿੱਥੋਂ ਮਿਲ ਸਕਦਾ ਹੈ?
ਇੱਕ ਡਾਊਨਲੋਡ ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ Fileਸਫ਼ਾ 5 'ਤੇ ਹੈ
ਸਵਾਲ ਮੈਂ ਇੱਕ SUPR ਡਿਜ਼ਾਈਨ ਕਿਵੇਂ ਬਣਾਵਾਂ?
ਪੰਨਾ 6 'ਤੇ ਇੱਕ ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ ਵਾਕਥਰੂ
Q ਇੱਕ PR ਵਿਅਕਤੀ ਕੀ ਹੈ?
ਪੰਨਾ 10 'ਤੇ ਵਿਅਕਤੀਆਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰੋ
Q ਮੈਂ SUPR ਤਰਕ ਨੂੰ ਕਿਵੇਂ ਬਦਲ ਸਕਦਾ ਹਾਂ? ਪੰਨਾ 16 'ਤੇ SUPR ਤਰਕ ਨੂੰ ਬਦਲੋ
ਪੰਨਾ 16 'ਤੇ SUPR ਤਰਕ ਨੂੰ ਬਦਲੋ
ਸਵਾਲ ਮੈਂ ਬੋਰਡ ਨੂੰ ਕਿਵੇਂ ਪ੍ਰੋਗਰਾਮ ਕਰਾਂ?
ਪੰਨਾ 18 'ਤੇ ਬੋਰਡ ਦਾ ਇੱਕ ਪ੍ਰੋਗਰਾਮ
Q PR ਜਾਣੇ ਜਾਂਦੇ ਮੁੱਦੇ ਅਤੇ ਸੀਮਾਵਾਂ ਕੀ ਹਨ?
ਇੱਕ Intel FPGA ਸਹਾਇਤਾ ਫੋਰਮ: PR
ਆਨਲਾਈਨ ਵਰਜਨ
ਫੀਡਬੈਕ ਭੇਜੋ
ID: 749443
AN-987
ਸੰਸਕਰਣ: 2022.10.24
ਦਸਤਾਵੇਜ਼ / ਸਰੋਤ
![]() |
intel Agilex F-ਸੀਰੀਜ਼ FPGA ਵਿਕਾਸ ਬੋਰਡ [pdf] ਯੂਜ਼ਰ ਗਾਈਡ Agilex F-ਸੀਰੀਜ਼, Agilex F-ਸੀਰੀਜ਼ FPGA ਵਿਕਾਸ ਬੋਰਡ, FPGA ਵਿਕਾਸ ਬੋਰਡ, ਵਿਕਾਸ ਬੋਰਡ, ਬੋਰਡ |