AN 987: Usasishaji Tuli Sehemu
Mafunzo ya Urekebishaji
Mafunzo ya Usasishaji Upya kwa Sehemu kwa Bodi ya Maendeleo ya Intel® ™ Agilex F-Series FPGA
Dokezo hili la programu linaonyesha urekebishaji upya wa sasisho tuli (SUPR) kwenye Bodi ya Maendeleo ya Intel ® F-Series FPGA. Urekebishaji upya kiasi (PR) hukuruhusu kusanidi upya sehemu ya Intel FPGA kwa nguvu, huku FPGA iliyobaki ikiendelea kufanya kazi. PR hutekeleza watu wengi katika eneo fulani katika muundo wako, bila kuathiri utendaji kazi katika maeneo ya nje ya eneo hili. Mbinu hii inatoa advan ifuatayotages katika mifumo ambayo kazi nyingi hushiriki kwa wakati rasilimali sawa za FPGA:
- Inaruhusu usanidi upya wa wakati wa kukimbia
- Huongeza uwezo wa kubuni
- Inapunguza wakati wa kupungua kwa mfumo
- Inaauni vitendaji vya kuzidisha wakati katika muundo
- Hupunguza gharama na matumizi ya nguvu kwa kutumia vyema nafasi ya bodi
Usanidi wa Sehemu ya Usasishaji Tuli ni nini?
Katika PR ya kitamaduni, mabadiliko yoyote kwa eneo tuli yanahitaji urejeshaji wa kila mtu. Walakini, kwa SUPR unaweza kufafanua eneo maalum ambalo linaruhusu mabadiliko, bila kuhitaji malipo ya watu. Mbinu hii ni muhimu kwa sehemu ya muundo ambayo unaweza kutaka kubadilisha ili kupunguza hatari, lakini hiyo haihitaji usanidi upya wa wakati wa utekelezaji.
1.1. Mahitaji ya Mafunzo
Mafunzo haya yanahitaji yafuatayo:
- Ujuzi wa kimsingi na mtiririko na mradi wa utekelezaji wa Intel Quartus® Prime Pro Edition FPGA files.
- Usakinishaji wa toleo la 22.3 la Intel Quartus Prime Pro, kwa usaidizi wa kifaa cha Intel Agilex.
- Kwa utekelezaji wa FPGA, JTAG uhusiano na bodi ya ukuzaji ya Intel Agilex F-Series FPGA kwenye benchi.
- Pakua Usanifu wa Marejeleo Files. Habari Zinazohusiana
- Mwongozo wa Mtumiaji wa Urekebishaji Sehemu
- Mafunzo ya Urekebishaji Sehemu
- Mafunzo ya Mtandaoni ya Urekebishaji Sehemu
Shirika la Intel. Haki zote zimehifadhiwa. Intel, nembo ya Intel, na alama zingine za Intel ni chapa za biashara za Intel Corporation au kampuni zake tanzu. Intel inathibitisha utendakazi wa FPGA yake na bidhaa za semiconductor kwa vipimo vya sasa kwa mujibu wa udhamini wa kawaida wa Intel, lakini inahifadhi haki ya kufanya mabadiliko kwa bidhaa na huduma zozote wakati wowote bila taarifa. Intel haichukui jukumu au dhima yoyote inayotokana na maombi au matumizi ya taarifa yoyote, bidhaa, au huduma iliyofafanuliwa hapa isipokuwa kama ilivyokubaliwa kwa maandishi na Intel. Wateja wa Intel wanashauriwa kupata toleo jipya zaidi la vipimo vya kifaa kabla ya kutegemea taarifa yoyote iliyochapishwa na kabla ya kuagiza bidhaa au huduma. *Majina na chapa zingine zinaweza kudaiwa kuwa mali ya wengine.
ISO 9001:2015 Imesajiliwa
1.2. Usanifu wa Marejeleo Umeishaview
Muundo huu wa kumbukumbu una moja, 32-bit counter. Katika ngazi ya bodi, kubuni huunganisha saa na chanzo cha 50MHz, na kisha huunganisha pato kwa LED nne kwenye ubao. Kuchagua pato kutoka kwa biti za kaunta, kwa mlolongo maalum, husababisha taa za LED kumeta kwa mzunguko maalum. Sehemu ya juu_ya kukabiliana ni eneo la SUPR.
Kielelezo 1. Muundo wa Marejeleo ya Gorofa
1.3. Usasishaji tuli wa Mkoa Umekwishaview
Kielelezo kifuatacho kinaonyesha mchoro wa kuzuia kwa muundo wa PR unaojumuisha eneo la SUPR. Kizuizi A ni eneo tuli la Juu. Block B ni eneo la SUPR. Kizuizi C ni kizigeu cha PR.
Kielelezo 2. Muundo wa PR na Mkoa wa SUPR
- Eneo la Juu la Tuli—lina mantiki ya muundo ambayo haibadiliki. Kubadilisha eneo hili kunahitaji urejeshaji wa watu wote wanaohusishwa. Eneo tuli linajumuisha sehemu ya muundo ambayo haibadiliki kwa mtu yeyote. Eneo hili linaweza kujumuisha rasilimali za pembezoni na za kifaa msingi. Ni lazima uandikishe mawasiliano yote kati ya SUPR na sehemu za PR katika eneo tuli. Sharti hili husaidia kuhakikisha kufungwa kwa muda kwa watu wowote, kuhusiana na eneo tuli.
- B Eneo la SUPR—lina mantiki ya msingi pekee ambayo inaweza kubadilika kwa ajili ya kupunguza hatari, lakini haihitaji usanidi upya wa wakati wa utekelezaji. Eneo la SUPR lina mahitaji na vikwazo sawa na kizigeu cha PR. Sehemu ya SUPR inaweza kuwa na rasilimali za msingi pekee. Kwa hivyo, kizigeu cha SUPR lazima kiwe kizigeu cha mtoto cha kizigeu cha mizizi ya kiwango cha juu ambacho kina pembezoni ya muundo na saa. Kubadilisha eneo la SUPR hutoa Kitu cha SRAM File (.sof) ambayo inaoana na Binary zote zilizopo zilizokusanywa pamoja File (.rbf) files kwa sehemu ya PR C.
- C PR Partition—ina mantiki isiyo ya kawaida ambayo unaweza kupanga upya wakati wa utekelezaji ukitumia mantiki yoyote ya muundo ambayo inafaa na kufikia kufungwa kwa muda wakati wa utungaji.
1.4. Pakua Usanifu wa Marejeleo Files
Mafunzo ya usanidi upya wa sehemu yanapatikana katika eneo lifuatalo: https://github.com/intel/fpga-partial-reconfig
Ili kupakua mafunzo:
- Bofya Clone au pakua.
- Bofya Pakua ZIP. Fungua fpga-partial-reconfig-master.zip file.
- Nenda kwenye folda ya mafunzo/agilex_pcie_devkit_blinking_led_supr ili kufikia muundo wa marejeleo.
Folda ya gorofa ina zifuatazo files:
Jedwali 1. Usanifu wa Marejeleo Files
File Jina | Maelezo |
juu. sv | Kiwango cha juu file zenye utekelezaji wa gorofa wa kubuni. Sehemu hii inaanzisha kizigeu kidogo kinachoongozwa na blinking na moduli ya kaunta ya juu. |
t op_counter . sv | Kaunta ya kiwango cha juu ya 32-bit inayodhibiti LED [1] moja kwa moja. Toleo lililosajiliwa la kidhibiti hudhibiti LED [0], na pia huwasha LED [2] na LED [3] kupitia moduli inayoongozwa na blinking. |
blinking_led. sdc | Inafafanua vikwazo vya muda kwa mradi. |
blinking_led. sv | Katika somo hili, unabadilisha moduli hii kuwa kizigeu cha wazazi cha PR. Sehemu hii hupokea matokeo yaliyosajiliwa ya sehemu ya top_counter, ambayo inadhibiti LED [2] na LED [3]. |
blinking_led.qpf | Mradi wa Intel Quartus Prime file iliyo na orodha ya marekebisho yote katika mradi. |
blinking_led . qs f | Mipangilio ya Intel Quartus Prime file iliyo na kazi na mipangilio ya mradi. |
Kumbuka: Folda ya supr ina seti kamili ya fileunaunda kwa kutumia programu tumizi hii kumbuka. Rejea hizi files wakati wowote wakati wa matembezi.
1.5. Njia ya Usanifu wa Marejeleo
Hatua zifuatazo zinaelezea utekelezaji wa SUPR na muundo wa gorofa:
- Hatua ya 1: Kuanza
- Hatua ya 2: Unda Sehemu za Kubuni
- Hatua ya 3: Tenga Mikoa ya Kuweka na Njia
- Hatua ya 4: Bainisha Watu
- Hatua ya 5: Tengeneza Marekebisho
- Hatua ya 6: Andika Marekebisho ya Msingi
- Hatua ya 7: Sanidi Marekebisho ya Utekelezaji wa PR
- Hatua ya 8: Badilisha Mantiki ya SUPR
- Hatua ya 9: Panga Bodi
Kielelezo 3. Mtiririko wa Mkusanyiko wa SUPR
1.5.1. Hatua ya 1: Kuanza
Ili kunakili muundo wa kumbukumbu files kwa mazingira yako ya kazi na unda muundo wa gorofa unaoongozwa na blinking:
- Kabla ya kuanza, Pakua Usanifu wa Marejeleo Files kwenye ukurasa wa 5.
- Unda saraka ya agilex_pcie_devkit_blinking_led_supr katika mazingira yako ya kazi.
- Nakili mafunzo/agilex_pcie_devkit_blinking_led/flat folda iliyopakuliwa kwenye saraka ya agilex_pcie_devkit_blinking_led_supr.
- Katika programu ya Intel Quartus Prime Pro Edition, bofya File ➤ Fungua Mradi na ufungue /flat/blinking_led.qpf.
- Ili kukusanya muundo msingi, bofya Inachakata ➤ Anza Kukusanya. Kichanganuzi cha Muda kinaripoti kufunguka kiotomatiki wakati mkusanyiko umekamilika. Unaweza kufunga Kichanganuzi cha Muda kwa sasa.
1.5.2. Hatua ya 2: Unda Sehemu za Kubuni
Unda sehemu za muundo kwa kila eneo ambalo ungependa kusanidi upya kwa kiasi. Unaweza kuunda idadi yoyote ya partitions huru au maeneo ya PR katika mradi wako. Fuata hatua hizi ili kuunda vizuizi vya muundo kwa mfano wa u_blinking_led kama kizigeu cha PR, na mfano wa u_top_counter kama eneo la SUPR:
- Bofya kulia mfano wa u_blinking_led katika Kirambazaji cha Mradi na ubofye Sehemu ya Usanifu
➤ Inaweza kusanidiwa upya. Aikoni ya kizigeu cha muundo inaonekana karibu na kila tukio ambalo limewekwa kama kizigeu.
Kielelezo 4. Kujenga Partitions Design - Rudia hatua ya 1 ili kuunda kizigeu cha mfano wa u_top_counter.
- Bofya Kazi ➤ Dirisha la Vigawanyo vya Usanifu. Dirisha linaonyesha sehemu zote za muundo kwenye mradi.
Kielelezo 5. Dirisha la Sehemu za Kubuni
- Bofya mara mbili kisanduku cha Jina la Kizuizi kinachoongozwa na blinking_ili kukibadilisha kuwa pr_partition. Vile vile, badilisha jina la top_counter partition hadi supr_partition.
Vinginevyo, kuongeza mistari ifuatayo kwa blinking_led.qsf huunda sehemu hizi:
set_instance_assignment -jina PARTITION pr_partition \ -kwa u_blinking_led -cho juu
set_instance_assignment -jina PARTIAL_RECONFIGURATION_PARTITION IMEWASHWA \ -kwa_kupepesa_kuongozwa -juu ya chombo
set_instance_assignment -name PARTITION supr_partition \ -to_top_counter -onty top
set_instance_assignment -jina PARTIAL_RECONFIGURATION_PARTITION IMEWASHWA \ -hadi u_top_counter -juu ya chombo
1.5.3. Hatua ya 3: Tenga Mikoa ya Kuweka na Njia
Kwa kila masahihisho ya msingi unayounda, Kikusanyaji hutumia mgao wa eneo la kizigeu cha PR ili kuweka msingi unaolingana wa mtu katika eneo lililohifadhiwa. Fuata hatua hizi ili kupata na kukabidhi eneo la PR katika mpangilio wa sakafu wa kifaa kwa marekebisho yako ya msingi:
- Katika kichupo cha Kiwango cha Navigator ya Mradi, bofya-kulia mfano wa u_blinking_led, na kisha ubofye Eneo la Logic Lock ➤ Unda Eneo Mpya la Kufuli la Mantiki. Kanda inaonekana kwenye dirisha la Mikoa ya Lock Lock.
- Bainisha Upana wa eneo wa 5 na Urefu wa 5.
- Bainisha viwianishi vya eneo la uwekaji kwa u_blinking_led katika safu ya Asili. Asili inalingana na kona ya chini kushoto ya kanda. Bainisha Asili kama X166_Y199. Kikusanyaji hukokotoa (X170 Y203) kama kiratibu cha juu kulia.
- Washa chaguo Zilizohifadhiwa na za Msingi Pekee za eneo.
- Bofya mara mbili chaguo la Mkoa wa Njia. Sanduku la mazungumzo la Mipangilio ya Mipangilio ya Eneo la Lock Lock linaonekana.
- Kwa Aina ya Uelekezaji, chagua Imeboreshwa na upanuzi. Chaguo hili huweka kiotomati urefu wa Upanuzi wa moja.
- Rudia hatua za awali ili kutenga rasilimali zifuatazo kwa kizigeu cha u_top_counter:
• Urefu—5
• Upana—5
• Asili—X173_Y199
• Eneo la Njia— Limesasishwa na upanuzi na urefu wa Upanuzi wa moja.
• Imehifadhiwa—Imewashwa
• Msingi Pekee—Umewashwa
Kielelezo 6. Dirisha la Mikoa ya Lock Lock
Kumbuka: Eneo la uelekezaji lazima liwe kubwa kuliko eneo la uwekaji, ili kutoa unyumbulifu zaidi kwa uelekezaji wa Mkusanyaji.tage, wakati Mkusanyaji anapoelekeza watu tofauti.
- Eneo lako la uwekaji lazima liambatanishe na mantiki inayoongozwa na blinking. Ili kuchagua eneo la uwekaji kwa kupata nodi katika Chip Planner, bofya-kulia jina la eneo la u_blinking_led katika dirisha la Mikoa ya Lock Lock, na kisha ubofye Tafuta Njia ➤ Pata kwenye Kipanga Chip.
- Chini ya Ripoti za Kugawanya, bofya mara mbili Sehemu za Usanifu wa Ripoti. Chip Planner huangazia na kuweka misimbo ya rangi eneo.
Kielelezo 7. Mahali pa Nodi ya Mpangaji Chip kwa blinking_led
Vinginevyo, kuongeza mistari ifuatayo kwa blinking_led.qsf huunda maeneo haya:
set_instance_assignment -jina PARTITION pr_partition -to \ u_blinking_led -cho juu
set_instance_assignment -jina PARTIAL_RECONFIGURATION_PARTITION IMEWASHWA \ -kwa_kupepesa_kuongozwa -juu ya chombo
set_instance_assignment -name PARTITION supr_partition -to_top_counter \ -onty top
set_instance_assignment -jina PARTIAL_RECONFIGURATION_PARTITION ON -to \ u_top_counter -onty top
set_instance_assignment -jina PLACE_REGION “X166 Y199 X170 Y203” -kwa \ u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -jina REGION_NAME pr_partition -kwa u_blinking_led
set_instance_assignment -name ROUTE_REGION “X165 Y198 X171 Y204” -to \ u_blinking_led
set_instance_assignment -jina RESERVE_ROUTE_REGION IMEZIMWA -kwa u_blinking_led
set_instance_assignment -jina PLACE_REGION “X173 Y199 X177 Y203” -to \ u_top_counter
set_instance_assignment -jina RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -jina CORE_ONLY_PLACE_REGION ON -to_top_counter
set_instance_assignment -jina REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -name ROUTE_REGION “X172 Y198 X178 Y204” -to \ u_top_counter
set_instance_assignment -jina RESERVE_ROUTE_REGION IMEZIMWA -kwa u_top_counter
1.5.4. Hatua ya 4: Bainisha Watu
Muundo huu wa marejeleo unafafanua watu watatu tofauti kwa kizigeu kimoja cha PR, na mtu mmoja wa SUPR kwa eneo la SUPR. Fuata hatua hizi ili kufafanua na kujumuisha watu hawa kwenye mradi wako. Ikiwa unatumia Kihariri cha Maandishi cha Intel Quartus Prime, zima Ongeza file
kwa mradi wa sasa wakati wa kuhifadhi files.
- Unda blinking_led_slow.sv mpya, blinking_led_empty.sv, na top_counter_fast.sv SystemVerilog files kwenye saraka yako ya kufanya kazi. Thibitisha kuwa blinking_led.sv tayari iko kwenye saraka ya kufanya kazi.
- Ingiza yaliyomo yafuatayo kwa SystemVerilog files:
Jedwali 2. Usanifu wa Marejeleo ya Watu SystemVerilog
File Jina Maelezo Kanuni blinking_led_polepole. sv LED huwaka polepole kipimo cha nyakati 1 ps / 1 ps 'default_nettype hakuna
moduli blinking_led_slow // saa
saa ya kuingiza waya, kuweka upya waya, waya wa kuingiza [ 31:01 kaunta,
// Dhibiti mawimbi ya waya za pato za LEDs zinazoongozwa_two_on,
waya wa pato led_three_on localparam COUNTER_TAP = 27;
reg led_two_on_r; mguu uliongoza_tatu_kwa_r; kabidhi led_two_on = led_two_on_r; kabidhi led_three_on = led_three_on_r; always_ff @(posedge clock) start led_two_on_r <= counter[COUNTER_TAP]; led_three_on_r <= counter[COUNTER_TAP]; mwisho mwishoblinking_led_tupu. sv LED hukaa IMEWASHWA timescale 1 ps / 1 ps 'default_nettype moduli hakuna blinking_led_empty( // saa ya kuingiza waya, kuweka upya waya, waya ya kuingiza [kihesabu 31:01, // Dhibiti mawimbi ya waya ya kutoa sauti ya LEC iliyowashwa_mbili_kuwasha, waya ya kutoa inayoongoza_tatu_ iliendelea… File Jina Maelezo Kanuni // LED inafanya kazi chini kukabidhi led_two_on = l'IDO; kabidhi led_three_on = 11b0; moduli ya mwisho top_counter_fast.sv SUPR ya pili 'wakati 1 ps / 1 ps mtu Thdefault_nettype hakuna moduli top_counter_fast // Dhibiti mawimbi ya waya wa kutoa umeme wa LED_one_on, hesabu ya waya [31:0], // saa ya kuingiza waya ); localparam COUNTER TAP = 23; reg [31:0] count_d; gawa hesabu = count_d; kabidhi led_one_on = ount_d[COUNTER_TAP]; always_ff @(podge clock) anza count_d <= count_d + 2; mwisho .:moduli - Bofya File ➤ Hifadhi Kama na uhifadhi .sv files kwenye saraka ya sasa ya mradi.
1.5.5. Hatua ya 5: Tengeneza Marekebisho
Mtiririko wa muundo wa PR hutumia kipengele cha masahihisho ya mradi katika programu ya Intel Quartus Prime. Muundo wako wa awali ni marekebisho ya msingi, ambapo unafafanua mipaka ya eneo tuli na maeneo yanayoweza kusanidiwa upya kwenye FPGA. Kutoka kwa marekebisho ya msingi, unaunda masahihisho ya ziada. Marekebisho haya yana utekelezwaji tofauti kwa mikoa ya PR. Hata hivyo, masahihisho yote ya utekelezaji wa PR hutumia uwekaji wa kiwango cha juu sawa na matokeo ya uelekezaji kutoka kwa masahihisho ya msingi. Ili kukusanya muundo wa PR, unaunda marekebisho ya utekelezaji wa PR kwa kila mtu. Kwa kuongeza, lazima upe aina ya marekebisho ya Urekebishaji wa Sehemu - Msingi au Urekebishaji Sehemu - aina ya marekebisho ya Utekelezaji wa Persona kwa kila moja ya masahihisho. Jedwali lifuatalo linaorodhesha jina la marekebisho na aina ya masahihisho kwa kila masahihisho. Marekebisho ya impl_blinking_led_supr_new.qsf ni utekelezaji wa mtu binafsi wa SUPR.
Jedwali 3. Majina na Aina za Marekebisho
Jina la Marekebisho | Aina ya Marekebisho |
blinking_led | Urekebishaji wa Sehemu - Msingi |
blinking_led_default | Urekebishaji wa Sehemu - Utekelezaji wa Mtu |
blinking_led_polepole | Urekebishaji wa Sehemu - Utekelezaji wa Mtu |
blinking_led_tupu | Urekebishaji wa Sehemu - Utekelezaji wa Mtu |
impl_blinking_led_supr_mpya | Urekebishaji wa Sehemu - Utekelezaji wa Mtu |
1.5.5.1. Kuweka Marekebisho ya Msingi
Fuata hatua hizi ili kuweka blinking_led kama marekebisho ya msingi:
- Bofya Mradi ➤ Marekebisho.
- Kwa Aina ya Marekebisho, chagua Usanidi wa Sehemu - Msingi.
Hatua hii inaongeza yafuatayo kwa blinking_led.qsf:
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
1.5.5.2. Kuunda Marekebisho ya Utekelezaji
Fuata hatua hizi ili kuunda marekebisho ya utekelezaji:
- Katika sanduku la mazungumzo la Marekebisho, bofya mara mbili < >.
- Katika jina la Marekebisho, bainisha blinking_led_default na uchague blinking_led kwa Kulingana na marekebisho.
- Kwa aina ya Marekebisho, chagua Urekebishaji wa Sehemu - Utekelezaji wa Mtu.
- Lemaza Kuweka kama chaguo la marekebisho ya sasa.
- Rudia hatua 2 hadi 5 ili kuweka aina ya Marekebisho kwa masahihisho mengine ya utekelezaji:
Jina la Marekebisho | Aina ya Marekebisho | Kulingana na Revision |
blinking_led_polepole | Urekebishaji wa Sehemu - Utekelezaji wa Mtu | blinking_led |
blinking_led_tupu | Urekebishaji wa Sehemu - Utekelezaji wa Mtu | blinking_led |
impl_blinking_led_supr_mpya | Urekebishaji wa Sehemu - Utekelezaji wa Mtu | blinking_led |
Kielelezo 8. Kuunda Marekebisho ya Utekelezaji
Kila .qsf file sasa ina kazi ifuatayo:
set_global_assignment -jina REVISION_TYPE PR_IMPL
set_instance_assignment -jina ENTITY_REBINDING kishikilia_mahali -kwa_juu_kaunta
set_instance_assignment -jina ENTITY_REBINDING kishikilia_mahali -kwa_kuangaza_kuongozwa
1.5.6. Hatua ya 6: Andika Marekebisho ya Msingi
Fuata hatua hizi ili kukusanya masahihisho ya msingi na kusafirisha nje kanda tuli na SUPR kwa matumizi ya baadaye katika masahihisho ya utekelezaji kwa watu wapya wa PR:
- Weka blinking_led kama Marekebisho ya Sasa ikiwa haijawekwa tayari.
- Katika Dirisha la Vigawanyiko vya Usanifu, bofya (…) karibu na safu wima ya kulia kabisa na uwashe Usafirishaji wa Mwisho wa Mwisho. File safu. Unaweza pia kuzima au kubadilisha mpangilio wa safu wima.
- Ili kuuza nje kiotomatiki muhtasari wa mwisho wa sehemu za muundo wa utekelezaji wa PR baada ya kila mkusanyiko, bainisha yafuatayo kwa Usafirishaji wa Baada ya Mwisho. File chaguzi za mzizi na sehemu za SUPR. .qdb files usafirishaji kwa saraka ya mradi kwa chaguo-msingi.
• root_partition-blinking_led_static.qdb
• supr_partition—blinking_led_supr_partition_final.qdb
Kielelezo 9. Usafirishaji wa Kiotomatiki katika Dirisha la Sehemu za KubuniVinginevyo, kazi zifuatazo za .qsf husafirisha sehemu kiotomatiki baada ya kila mkusanyiko:
set_instance_assignment -jina EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | - chombo cha juu
set_instance_assignment -jina EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity top - Ili kukusanya masahihisho ya msingi ya blinking_led, bofya Inachakata ➤ Anza
Mkusanyiko. Vinginevyo, unaweza kutumia amri ifuatayo kuunda marekebisho haya:
quartus_sh -flow compile blinking_led -c blinking_led Baada ya utungaji uliofaulu, yafuatayo files kuonekana kwenye saraka ya mradi:
• blinking_led.sof
• blinking_led.pr_partition.rbf
• blinking_led.supr_partition.rbf
• blinking_led_static.qdb
• blinking_led_supr_partition_final.qdb
1.5.7. Hatua ya 7: Sanidi Marekebisho ya Utekelezaji wa PR
Ni lazima uandae masahihisho ya utekelezaji wa PR kabla ya kutengeneza mkondo wa PR kwa upangaji wa kifaa. Usanidi huu unajumuisha kuongeza eneo tuli .qdb file kama chanzo file kwa kila marekebisho ya utekelezaji. Kwa kuongeza, lazima ueleze
chombo husika cha eneo la PR. Fuata hatua hizi ili kusanidi masahihisho ya utekelezaji wa PR:
- Ili kuweka masahihisho ya sasa, bofya Mradi ➤ Marekebisho, chagua blinking_led_default kama jina la Marekebisho, kisha ubofye Weka Sasa. Vinginevyo, unaweza kuchagua marekebisho ya sasa kwenye upau wa vidhibiti kuu wa Intel Quartus Prime.
- Ili kuthibitisha chanzo sahihi cha marekebisho haya ya utekelezaji, bofya Mradi ➤ Ongeza/Ondoa Files katika Mradi. Thibitisha kuwa blinking_led.sv file inaonekana katika file orodha.
- Ili kuthibitisha chanzo sahihi file kwa masahihisho ya utekelezaji, bofya Mradi ➤ Ongeza/Ondoa files kwenye Mradi, na ongeza chanzo kifuatacho filekwa ajili ya marekebisho ya utekelezaji. Ikiwa iko, ondoa blinking_led.sv kutoka kwenye orodha ya mradi files.
IUtekelezaji Jina la Marekebisho Chanzo File blinking_led_tupu blinking_led_empty.sv blinking_led_polepole blinking_led_slow.sv - Weka blinking_led_default kama Marekebisho ya Sasa.
- Ili kubainisha .qdb file kama chanzo cha root_partition, bofya Kazi ➤ Dirisha la Vigawanyo vya Usanifu. Bofya mara mbili Hifadhidata ya Sehemu File seli na ubainishe blinking_led_static.qdb file.
- Vile vile, bainisha blinking_led_supr_partition_final.qdb kama Hifadhidata ya Sehemu File kwa mgawanyiko_
Kielelezo cha 10.
Vinginevyo, tumia kazi zifuatazo za .qsf kubainisha .qdb:
set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_supr_partition_final.qdb -kwa u_top_counter - Katika Dirisha la Vigawanyo vya Usanifu, bofya (...) iliyo karibu na safu wima ya mbali zaidi kulia na uwashe safu wima ya Kufunga Upya.
-
Katika kisanduku cha Kufunga tena Huluki, taja jina jipya la huluki kwa kizigeu cha PR unachobadilisha katika masahihisho ya sasa ya utekelezaji. Kwa marekebisho ya utekelezaji ya blinking_led_default, jina la huluki lina blinking_led. Katika hali hii, unabatilisha mfano wa u_blinking_led kutoka kwa mkusanyiko wa msingi wa marekebisho na huluki mpya blinking_led. Kwa marekebisho mengine ya utekelezaji, rejelea jedwali lifuatalo:
Marekebisho Thamani ya Kufunga Upya ya Huluki blinking_led_polepole blinking_led_polepole blinking_led_tupu blinking_led_tupu Kielelezo 11. Kufunga tena Shirika
Vinginevyo, unaweza kutumia mistari ifuatayo katika .qsf ya kila marekebisho kuweka kazi:
##blinking_led_default.qsf
set_instance_assignment -jina ENTITY_REBINDING kupepesa_inaongozwa \ -kwa_kuangaza_kuongozwa
##blinking_led_slow.qsf
set_instance_assignment -jina ENTITY_REBINDING blinking_led_polepole \ -kwa_kupepesa_kuongozwa
##kupepesa_kuongozwa_tupu.qsf
set_instance_assignment -jina ENTITY_REBINDING blinking_led_empty \ -ili u_blinking_led - Futa maandishi ya kishikilia_mahali kutoka kwa seli ya Kufunga tena Huluki kwa supr_partition.
- Ili kukusanya muundo, bofya Uchakataji ➤ Anza Ukusanyaji. Vinginevyo, tumia amri ifuatayo kuunda mradi huu: quartus_sh -flow compile blinking_led -c blinking_led_default
- Rudia hatua ya 4 hadi 11 ili kuandaa na kukusanya masahihisho ya utekelezaji ya blinking_led_slow na blinking_led_empty.
1.5.8. Hatua ya 8: Badilisha Mantiki ya SUPR
Ili kubadilisha utendakazi wa mantiki ndani ya kizigeu cha SUPR, lazima ubadilishe chanzo cha kizigeu cha SUPR. Kamilisha hatua zifuatazo ili kubadilisha mfano wa u_top_counter katika kizigeu cha SUPR na huluki ya juu_ya_haraka.
- Ili kuweka masahihisho ya utekelezaji wa SUPR kuwa ya sasa, bofya Mradi ➤ Marekebisho na uweke impl_blinking_led_supr_new kama masahihisho ya sasa, au uchague
marekebisho kwenye upau wa vidhibiti kuu wa Intel Quartus Prime. - Ili kuthibitisha chanzo sahihi file kwa marekebisho ya utekelezaji, bofya Mradi ➤
Ongeza/Ondoa files kwenye Project, na uthibitishe kuwa top_counter_fast.sv ndio chanzo cha masahihisho ya utekelezaji impl_blinking_led_supr_new. Ikiwa ipo, ondoa top_counter.sv kutoka kwenye orodha ya mradi files. - Ili kubainisha .qdb file inayohusishwa na kizigeu cha mizizi, bofya Kazi ➤ Dirisha la Vigawanyo vya Usanifu, kisha ubofye mara mbili Hifadhidata ya Sehemu. File kisanduku cha kubainisha blinking_led_static.qdb.
Vinginevyo, tumia amri ifuatayo kugawa hii file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to | - Katika kisanduku cha Kufunga tena Huluki kwa pr_partition, taja jina linalofaa la huluki. Kwa huyu example, bainisha huluki_tupu_inayoongozwa_kupepesa. Katika hali hii, unabatilisha mfano wa u_blinking_led kutoka kwa mkusanyiko wa msingi wa marekebisho na huluki mpya inayounganisha_led_tupu. Mstari ufuatao sasa unapatikana katika .qsf:
##impl_blinking_led_supr_new.qsf set_instance_assignment -jina ENTITY_REBINDING_kupepesa_inaongozwa_tupu \ -kwa_kupepesa_kuongozwa - Katika kisanduku cha Kufunga tena Huluki kwa supr_partition, bainisha huluki ya juu_ya_haraka. top_counter_fast ni jina la huluki tuli ambayo inachukua nafasi ya u_top_counter unapokamilisha SUPR.
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_haraka \ -hadi_juu_kaunta
- Ili kukusanya muundo, bofya Uchakataji ➤ Anza Ukusanyaji. Vinginevyo, tumia amri ifuatayo kuunda marekebisho haya ya mradi: quartus_sh -flow compile blinking_led -c \ impl_blinking_led_supr_new
1.5.9. Hatua ya 9: Panga Bodi
Fuata hatua hizi ili kuunganisha na kupanga bodi ya ukuzaji ya Intel Agilex F-Series FPGA.
- Unganisha usambazaji wa nishati kwenye bodi ya ukuzaji ya Intel Agilex F-Series FPGA.
- Unganisha kebo ya USB kati ya mlango wa USB wa Kompyuta yako na maunzi ya programu ya USB kwenye ubao wa ukuzaji.
- Fungua programu ya Intel Quartus Prime, kisha ubofye Vyombo ➤ Kipanga programu. Rejelea Kupanga Bodi ya Maendeleo.
- Katika Kipanga programu, bofya Usanidi wa Vifaa, kisha uchague USB-Blaster.
- Bofya Tambua Kiotomatiki, kisha uchague kifaa cha AGFB014R24B.
- Bofya Sawa. Programu ya Intel Quartus Prime hutambua na kusasisha Kipanga programu kwa vifaa vitatu vya FPGA kwenye ubao.
- Chagua kifaa cha AGFB014R24B, bofya Badilisha File, na upakie blinking_led_default.sof file.
- Washa Programu/Sanidi kwa blinking_led_default.sof file.
- Bonyeza Anza na usubiri upau wa maendeleo kufikia 100%.
- Tazama taa za LED kwenye ubao zikiwaka.
- Ili kupanga eneo la PR pekee, bofya kulia blinking_led_default.sof file kwenye Kipanga programu na ubofye Ongeza Upangaji wa PR File. Chagua blinking_led_slow.pr_partition.rbf file.
- Zima Programu/Sanidi kwa blinking_led_default.sof file.
- Washa Program/Configure kwa blinking_led_slow.pr_partition.rbf file, na kisha bofya Anza. Kwenye ubao, angalia LED[0] na LED[1] zikiendelea kufumba na kufumbua. Upau wa maendeleo unapofikia 100%, LED[2] na LED[3] huwaka polepole zaidi.
- Ili kupanga upya eneo la PR, bofya kulia .rbf file kwenye Kipanga programu, na kisha ubofye Badilisha Upangaji wa PR File.
- Chagua .rbf filekwa watu wengine wawili kuangalia tabia ubaoni. Inapakia blinking_led_default.pr_partition.rbf file husababisha LED kumeta kwa marudio ya asili, na kupakia blinking_led_empty.pr_partition.rbf file husababisha LEDs kukaa ON. 17. Ili kubadilisha mantiki ya SUPR, rudia hatua ya 7 hapo juu ili kuchagua impl_blinking_led_supr_new.sof. Baada ya kubadilisha hii file, ikiongozwa [0:1] sasa inafumbata kwa kasi zaidi kuliko hapo awali. PR nyingine .rbf files pia zinaendana na .sof mpya.
Kumbuka: Assembler inazalisha .rbf file kwa mkoa wa SUPR. Walakini, haupaswi kutumia hii file kupanga upya FPGA wakati wa utekelezaji kwa sababu kizigeu cha SUPR hakihimilishi daraja la kugandisha, kidhibiti cha eneo la PR, na mantiki nyingine katika mfumo mzima. Unapofanya mabadiliko kwenye mantiki ya kizigeu cha SUPR, lazima upange upya .sof kamili file kutoka kwa mkusanyiko wa marekebisho ya utekelezaji wa SUPR.
Kielelezo 12. Kuandaa Bodi ya Maendeleo
1.5.9.1. Kutatua Hitilafu za Utayarishaji wa PR
Kuhakikisha usanidi sahihi wa Intel Quartus Prime Programmer na maunzi yaliyounganishwa husaidia kuzuia makosa yoyote wakati wa programu ya PR.
Ukikumbana na hitilafu zozote za upangaji wa PR, rejelea "Utatuzi wa Hitilafu za Utayarishaji wa PR" katika Mwongozo wa Mtumiaji wa Toleo la Intel Quartus Prime Pro: Uwekaji Upya Sehemu kwa vidokezo vya utatuzi wa hatua kwa hatua.
Habari Zinazohusiana
Kutatua Hitilafu za Utayarishaji wa PR
1.5.10. Kubadilisha Sehemu ya SUPR
Unaweza kurekebisha kizigeu kilichopo cha SUPR. Baada ya kurekebisha kizigeu cha SUPR, lazima uikusanye, uzalishe .sof file, na kupanga ubao, bila kukusanya watu wengine. Kwa mfanoampna, fuata hatua hizi ili kubadilisha top_counter_fast.sv moduli ili kuhesabu haraka:
- Weka impl_blinking_led_supr_new kama marekebisho ya sasa.
- Katika top_counter_fast.sv file, badilisha taarifa ya count_d + 2 na count_d + 4.
- Tekeleza amri zifuatazo ili kusawazisha tena kizuizi cha SUPR na utengeneze .sof mpya file: quartus_sh -tiririka kukusanya blinking_led \ -c impl_blinking_led_supr_new
.sof inayotokana sasa ina eneo jipya la SUPR, na hutumia blinking_led kwa mtu chaguo-msingi (kuwasha).
1.6. Historia ya Marekebisho ya Hati ya AN 987: Usasishaji Tuli wa Historia ya Marekebisho ya Mafunzo ya Usasishaji Upya kwa Sehemu
Toleo la Hati | Toleo kuu la Intel Quartus | Mabadiliko |
2022.10.24 | 22. | Kutolewa kwa hati ya awali. |
Imesasishwa kwa Intel® Quartus®Prime Design Suite: 22.3
Majibu kwa Maswali Yanayoulizwa Mara kwa Mara:
Tuma Maoni
Q Nini ni usanidi wa sehemu ya sasisho tuli
Usasishaji Tuli Urekebishaji Upya wa Sehemu kwenye ukurasa wa 3
Q Je, ninahitaji nini kwa mafunzo haya?
Mahitaji ya Mafunzo kwenye ukurasa wa 3
Q Ninaweza kupata wapi muundo wa kumbukumbu?
Muundo wa Marejeleo ya Kupakua Files kwenye ukurasa wa 5
Q Je, ninawezaje kuunda muundo wa SUPR?
Maelekezo ya Ubunifu wa Marejeleo kwenye ukurasa wa 6
Q Je, mtu wa PR ni nini?
Fafanua Watu kwenye ukurasa wa 10
Q Je, ninabadilishaje mantiki ya SUPR? A Badilisha Mantiki ya SUPR kwenye ukurasa wa 16
A Badilisha Mantiki ya SUPR kwenye ukurasa wa 16
Q Je, ninapangaje bodi?
Mpango wa Bodi kwenye ukurasa wa 18
Q Je, ni masuala gani ya PR yanayojulikana na vikwazo?
Mijadala ya Usaidizi ya Intel FPGA: PR
Toleo la mtandaoni
Tuma Maoni
Kitambulisho: 749443
AN-987
Toleo: 2022.10.24
Nyaraka / Rasilimali
![]() |
Bodi ya Maendeleo ya FPGA ya Intel Agilex F-Series [pdf] Mwongozo wa Mtumiaji Agilex F-Series, Agilex F-Series FPGA Development Board, FPGA Development Board, Development Board, Board |