និមិត្តសញ្ញា IntelAN 987៖ ការធ្វើបច្ចុប្បន្នភាពឋិតិវន្តដោយផ្នែក
ការបង្រៀនកំណត់រចនាសម្ព័ន្ធឡើងវិញ

ការបង្រៀនអំពីការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកសម្រាប់ការធ្វើបច្ចុប្បន្នភាពឋិតិវន្តសម្រាប់ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel® ™ Agilex F-Series FPGA

កំណត់ចំណាំកម្មវិធីនេះបង្ហាញពីការកំណត់រចនាសម្ព័ន្ធឡើងវិញផ្នែកឋិតិវន្ត (SUPR) នៅលើ Intel ® F-Series FPGA Development Board ។ ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក (PR) អនុញ្ញាតឱ្យអ្នកកំណត់រចនាសម្ព័ន្ធឡើងវិញនូវផ្នែកនៃ Intel FPGA ថាមវន្ត ខណៈពេលដែល FPGA ដែលនៅសល់បន្តដំណើរការ។ PR អនុវត្តមនុស្សច្រើននៅក្នុងតំបន់ជាក់លាក់មួយនៅក្នុងការរចនារបស់អ្នក ដោយមិនប៉ះពាល់ដល់ប្រតិបត្តិការនៅក្នុងតំបន់ដែលនៅក្រៅតំបន់នេះ។ វិធីសាស្រ្តនេះផ្តល់នូវ advan ដូចខាងក្រោមtages នៅក្នុងប្រព័ន្ធដែលមុខងារច្រើនពេលវេលាចែករំលែកធនធាន FPGA ដូចគ្នា៖

  • អនុញ្ញាតឱ្យកំណត់រចនាសម្ព័ន្ធពេលវេលាដំណើរការឡើងវិញ
  • បង្កើនសមត្ថភាពរចនា
  • កាត់បន្ថយពេលវេលាមិនដំណើរការនៃប្រព័ន្ធ
  • គាំទ្រមុខងារច្រើនដងថាមវន្តនៅក្នុងការរចនា
  • កាត់បន្ថយការចំណាយ និងការប្រើប្រាស់ថាមពលដោយការប្រើប្រាស់ប្រកបដោយប្រសិទ្ធភាពនៃទំហំក្តារ

តើ​អ្វី​ទៅ​ជា​ការ​កំណត់​រចនាសម្ព័ន្ធ​ផ្នែក​ការ​អាប់ដេត​ឋិតិវន្ត?

នៅក្នុង PR ប្រពៃណី ការផ្លាស់ប្តូរណាមួយទៅកាន់តំបន់ឋិតិវន្ត ទាមទារការចងក្រងឡើងវិញនូវរាល់បុគ្គលនីមួយៗ។ ទោះយ៉ាងណាក៏ដោយ ជាមួយ SUPR អ្នកអាចកំណត់តំបន់ឯកទេសដែលអនុញ្ញាតឱ្យមានការផ្លាស់ប្តូរ ដោយមិនតម្រូវឱ្យមានការចងក្រងបុគ្គលឡើងវិញ។ បច្ចេកទេសនេះមានប្រយោជន៍សម្រាប់ផ្នែកមួយនៃការរចនាដែលអ្នកប្រហែលជាចង់ផ្លាស់ប្តូរសម្រាប់ការកាត់បន្ថយហានិភ័យ ប៉ុន្តែវាមិនតម្រូវឱ្យមានការកំណត់ឡើងវិញពេលដំណើរការទេ។

១.១. តម្រូវការបង្រៀន
ការបង្រៀននេះទាមទារដូចខាងក្រោមៈ

  • ការស្គាល់ជាមូលដ្ឋានជាមួយនឹងលំហូរនៃការអនុវត្ត និងគម្រោង Intel Quartus® Prime Pro Edition FPGA files.
  •  ការដំឡើង Intel Quartus Prime Pro Edition កំណែ 22.3 ដោយមានការគាំទ្រឧបករណ៍ Intel Agilex ។
  • សម្រាប់ការអនុវត្ត FPGA A JTAG ការតភ្ជាប់ជាមួយក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA នៅលើកៅអី។
  • ទាញយកការរចនាឯកសារយោង Fileស. ព័ត៌មានពាក់ព័ន្ធ
  • ការណែនាំអំពីការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក
  • ការបង្រៀនអំពីការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក
  • វគ្គបណ្តុះបណ្តាលលើបណ្តាញ ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក

សាជីវកម្ម Intel ។ រក្សា​រ​សិទ្ធ​គ្រប់យ៉ាង។ Intel, និមិត្តសញ្ញា Intel និងសញ្ញា Intel ផ្សេងទៀតគឺជាពាណិជ្ជសញ្ញារបស់ Intel Corporation ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។ Intel ធានាការអនុវត្តផលិតផល FPGA និង semiconductor របស់ខ្លួនទៅនឹងលក្ខណៈបច្ចេកទេសបច្ចុប្បន្នស្របតាមការធានាស្តង់ដាររបស់ Intel ប៉ុន្តែរក្សាសិទ្ធិក្នុងការផ្លាស់ប្តូរផលិតផល និងសេវាកម្មណាមួយនៅពេលណាមួយដោយមិនមានការជូនដំណឹងជាមុន។ Intel សន្មត់ថាគ្មានទំនួលខុសត្រូវ ឬការទទួលខុសត្រូវដែលកើតចេញពីកម្មវិធី ឬការប្រើប្រាស់ព័ត៌មាន ផលិតផល ឬសេវាកម្មណាមួយដែលបានពិពណ៌នានៅទីនេះ លើកលែងតែមានការយល់ព្រមជាលាយលក្ខណ៍អក្សរដោយ Intel ។ អតិថិជនរបស់ Intel ត្រូវបានណែនាំឱ្យទទួលបានកំណែចុងក្រោយបំផុតនៃការបញ្ជាក់ឧបករណ៍ មុនពេលពឹងផ្អែកលើព័ត៌មានដែលបានបោះពុម្ពផ្សាយណាមួយ និងមុនពេលធ្វើការបញ្ជាទិញផលិតផល ឬសេវាកម្ម។ * ឈ្មោះ និងម៉ាកផ្សេងទៀតអាចត្រូវបានទាមទារជាកម្មសិទ្ធិរបស់អ្នកដទៃ។
ISO 9001:2015 បានចុះឈ្មោះ
១.២. ការរចនាយោងលើview
ការរចនាឯកសារយោងនេះ មានបញ្ជរ 32 ប៊ីតមួយ។ នៅកម្រិតក្តារ ការរចនាភ្ជាប់នាឡិកាទៅនឹងប្រភព 50MHz ហើយបន្ទាប់មកភ្ជាប់ទិន្នផលទៅ LED ចំនួនបួននៅលើក្តារ។ ការជ្រើសរើសលទ្ធផលពី counter bits ក្នុងលំដាប់ជាក់លាក់មួយ បណ្តាលឱ្យ LEDs ភ្លឹបភ្លែតៗនៅប្រេកង់ជាក់លាក់មួយ។ ម៉ូឌុល top_counter គឺជាតំបន់ SUPR ។
រូបភាពទី 1. ការរចនាយោងផ្ទះល្វែង

និមិត្តសញ្ញា Intel១.៣. តំបន់អាប់ដេតឋិតិវន្តview
តួលេខខាងក្រោមបង្ហាញពីដ្យាក្រាមប្លុកសម្រាប់ការរចនា PR ដែលរួមបញ្ចូលតំបន់ SUPR ។ ប្លុក A គឺជាតំបន់ឋិតិវន្តកំពូល។ ប្លុក B គឺជាតំបន់ SUPR ។ ប្លុក C គឺជាភាគថាស PR ។
រូបភាពទី 2. ការរចនា PR ជាមួយតំបន់ SUPR

ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ intel Agilex F-Series FPGA - តំបន់

  • តំបន់ឋិតិវន្តកំពូល - មានតក្កវិជ្ជារចនាដែលមិនផ្លាស់ប្តូរ។ ការផ្លាស់ប្តូរតំបន់នេះតម្រូវឱ្យមានការចងក្រងឡើងវិញនូវបុគ្គលដែលពាក់ព័ន្ធទាំងអស់។ តំបន់ឋិតិវន្តរួមបញ្ចូលទាំងផ្នែកនៃការរចនាដែលមិនផ្លាស់ប្តូរសម្រាប់បុគ្គលណាមួយឡើយ។ តំបន់នេះអាចរួមបញ្ចូលគ្រឿងបរិក្ខារ និងធនធានឧបករណ៍ស្នូល។ អ្នកត្រូវតែចុះឈ្មោះទំនាក់ទំនងទាំងអស់រវាងភាគថាស SUPR និង PR នៅក្នុងតំបន់ឋិតិវន្ត។ តម្រូវការនេះជួយធានាឱ្យមានការបិទពេលវេលាសម្រាប់បុគ្គលណាមួយ ទាក់ទងនឹងតំបន់ឋិតិវន្ត។
  • តំបន់ B SUPR—មានតក្កវិជ្ជាស្នូលតែមួយគត់ដែលអាចមានការផ្លាស់ប្តូរសម្រាប់ការកាត់បន្ថយហានិភ័យ ប៉ុន្តែមិនតម្រូវឱ្យមានការកំណត់រចនាសម្ព័ន្ធពេលដំណើរការឡើងវិញទេ។ តំបន់ SUPR មានតម្រូវការ និងការរឹតបន្តឹងដូចគ្នាទៅនឹងភាគថាស PR ។ ភាគថាស SUPR អាចផ្ទុកតែធនធានស្នូលប៉ុណ្ណោះ។ ដូច្នេះ ភាគថាស SUPR ត្រូវតែជាភាគថាសកូននៃភាគថាស root កម្រិតកំពូល ដែលផ្ទុកគ្រឿងបរិក្ខាររចនា និងនាឡិកា។ ការផ្លាស់ប្តូរតំបន់ SUPR បង្កើតវត្ថុ SRAM File (.sof) ដែលត្រូវគ្នាជាមួយ Raw Binary ដែលបានចងក្រងដែលមានស្រាប់ទាំងអស់។ File (.rbf) files សម្រាប់ភាគថាស PR C ។
  • ភាគថាស C PR - មានតក្កវិជ្ជាតាមអំពើចិត្តដែលអ្នកអាចរៀបចំឡើងវិញនៅពេលដំណើរការជាមួយនឹងតក្កវិជ្ជារចនាណាមួយដែលសម និងសម្រេចបាននូវការបិទពេលវេលាកំឡុងពេលចងក្រង។

១.៤. ទាញយកការរចនាឯកសារយោង Files
ការបង្រៀនអំពីការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកមាននៅក្នុងទីតាំងខាងក្រោម៖ https://github.com/intel/fpga-partial-reconfig
ដើម្បីទាញយកមេរៀន៖

  1. ចុចក្លូន ឬទាញយក។
  2. ចុចទាញយក ZIP ។ ពន្លា fpga-partial-reconfig-master.zip file.
  3. រុករកទៅថតរងឯកសារបង្រៀន/agilex_pcie_devkit_blinking_led_supr ដើម្បីចូលប្រើការរចនាយោង។
    ថតផ្ទះល្វែងមានដូចខាងក្រោម files:
    តារាងទី 1. ការរចនាយោង Files
File ឈ្មោះ ការពិពណ៌នា
កំពូល។ sv កម្រិតកំពូល file មានការអនុវត្តផ្ទះល្វែងនៃការរចនា។ ម៉ូឌុលនេះធ្វើឱ្យភាគថាសរង blinking_led ភ្លាមៗ និងម៉ូឌុល top_counter ។
t op_counter ។ sv បញ្ជរ 32 ប៊ីតកម្រិតកំពូលដែលគ្រប់គ្រង LED [1] ដោយផ្ទាល់។ លទ្ធផលដែលបានចុះឈ្មោះរបស់បញ្ជរគ្រប់គ្រង LED [0] ហើយក៏ផ្តល់ថាមពលដល់ LED [2] និង LED [3] តាមរយៈម៉ូឌុល blinking_led ។
blinking_led ។ sdc កំណត់ដែនកំណត់ពេលវេលាសម្រាប់គម្រោង។
blinking_led ។ sv នៅក្នុងមេរៀននេះ អ្នកបំប្លែងម៉ូឌុលនេះទៅជាភាគថាស PR មេ។ ម៉ូឌុលទទួលបានលទ្ធផលដែលបានចុះឈ្មោះនៃម៉ូឌុល top_counter ដែលគ្រប់គ្រង LED [2] និង LED [3] ។
blinking_led.qpf គម្រោង Intel Quartus Prime file មានបញ្ជីនៃការកែប្រែទាំងអស់នៅក្នុងគម្រោង។
blinking_led ។ qs f ការកំណត់ Intel Quartus Prime file មានភារកិច្ច និងការកំណត់សម្រាប់គម្រោង។

ចំណាំ៖ ថតឯកសារ supr មានសំណុំពេញលេញនៃ fileអ្នកបង្កើតដោយប្រើកម្មវិធីនេះ ចំណាំ។ យោងទាំងនេះ files នៅចំណុចណាមួយក្នុងអំឡុងពេលដើរ។
១.៥. សេចក្តីយោង ការណែនាំអំពីការរចនា
ជំហានខាងក្រោមពិពណ៌នាអំពីការអនុវត្ត SUPR ជាមួយនឹងការរចនាផ្ទះល្វែង៖

  • ជំហានទី 1: ការចាប់ផ្តើម
  • ជំហានទី 2: បង្កើតភាគថាសរចនា
  • ជំហានទី 3៖ បែងចែកទីតាំង និងតំបន់បញ្ជូន
  • ជំហានទី 4: កំណត់បុគ្គល
  • ជំហានទី 5: បង្កើតការកែប្រែ
  • ជំហានទី 6: ចងក្រងការកែប្រែមូលដ្ឋាន
  • ជំហានទី 7: រៀបចំការកែសម្រួលការអនុវត្ត PR
  • ជំហានទី 8: ផ្លាស់ប្តូរតក្កវិជ្ជា SUPR
  • ជំហានទី 9: កម្មវិធីក្រុមប្រឹក្សាភិបាល

រូបភាពទី 3. លំហូរចងក្រង SUPR

ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ intel Agilex F-Series FPGA - លំហូរ

១.៥.១. ជំហានទី 1.5.1: ការចាប់ផ្តើម
ដើម្បីចម្លងការរចនាយោង files ទៅបរិយាកាសការងាររបស់អ្នក ហើយចងក្រងការរចនាផ្ទះល្វែង blinking_led៖

  1. មុនពេលអ្នកចាប់ផ្តើម ទាញយកការរចនាយោង Fileនៅទំព័រ ២១២
  2. បង្កើតថត agilex_pcie_devkit_blinking_led_supr នៅក្នុងបរិយាកាសការងាររបស់អ្នក។
  3. ចម្លងឯកសារបង្រៀនដែលបានទាញយក/agilex_pcie_devkit_blinking_led/flat sub-folder ទៅថតឯកសារ agilex_pcie_devkit_blinking_led_supr ។
  4. នៅក្នុងកម្មវិធី Intel Quartus Prime Pro Edition សូមចុច File ➤ បើកគម្រោង ហើយបើក /flat/blinking_led.qpf ។
  5. ដើម្បីចងក្រងការរចនាមូលដ្ឋាន សូមចុចដំណើរការ ➤ ចាប់ផ្តើមការចងក្រង។ របាយការណ៍វិភាគពេលវេលាបើកដោយស្វ័យប្រវត្តិ នៅពេលដែលការចងក្រងបានបញ្ចប់។ អ្នកអាចបិទកម្មវិធីវិភាគពេលវេលាឥឡូវនេះ។

១.៥.២. ជំហានទី 1.5.2: បង្កើតភាគថាសរចនា
បង្កើតភាគថាសរចនាសម្រាប់តំបន់នីមួយៗដែលអ្នកចង់កំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក។ អ្នកអាចបង្កើតចំនួនភាគថាសឯករាជ្យ ឬតំបន់ PR នៅក្នុងគម្រោងរបស់អ្នក។ អនុវត្តតាមជំហានទាំងនេះដើម្បីបង្កើតភាគថាសរចនាសម្រាប់ u_blinking_led instance ជាភាគថាស PR និង u_top_counter ជាតំបន់ SUPR៖

  1. ចុចកណ្ដុរស្ដាំលើវត្ថុ u_blinking_led ក្នុង Project Navigator ហើយចុច Design Partition
    ➤ អាចកំណត់រចនាសម្ព័ន្ធឡើងវិញបាន។ រូបតំណាងភាគថាសរចនាលេចឡើងនៅជាប់នឹងវត្ថុនីមួយៗដែលត្រូវបានកំណត់ជាភាគថាស។
    រូបភាពទី 4. ការបង្កើតភាគថាសរចនាក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA - ភាគថាស
  2. ធ្វើជំហានទី 1 ម្តងទៀតដើម្បីបង្កើតភាគថាសសម្រាប់ឧទាហរណ៍ u_top_counter ។
  3. ចុច Assignments ➤ Design Partitions Window។ បង្អួចបង្ហាញផ្នែករចនាទាំងអស់នៅក្នុងគម្រោង។
    រូបភាពទី 5. ការរចនាបង្អួចភាគថាស
    ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ intel Agilex F-Series FPGA - បង្អួច
  4. ចុចពីរដងលើក្រឡា blinking_led Partition Name ដើម្បីប្តូរឈ្មោះវាទៅជា pr_partition។ ដូចគ្នានេះដែរ ប្តូរឈ្មោះភាគថាស top_counter ទៅ supr_partition។
    ជាជម្រើស ការបន្ថែមបន្ទាត់ខាងក្រោមទៅ blinking_led.qsf បង្កើតភាគថាសទាំងនេះ៖
    set_instance_assignment -name PARTITION pr_partition \ -to u_blinking_led -entity top
    set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
    set_instance_assignment -name PARTITION supr_partition \ -to u_top_counter -entity top
    set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -entity top

១.៥.៣. ជំហានទី 1.5.3៖ បែងចែកទីតាំង និងតំបន់បញ្ជូន
សម្រាប់រាល់ការកែប្រែមូលដ្ឋានដែលអ្នកបង្កើត អ្នកចងក្រងប្រើការបែងចែកតំបន់ភាគថាស PR ដើម្បីដាក់ស្នូល persona ដែលត្រូវគ្នានៅក្នុងតំបន់ដែលបានបម្រុងទុក។ អនុវត្តតាមជំហានទាំងនេះដើម្បីកំណត់ទីតាំង និងកំណត់តំបន់ PR នៅក្នុងផែនការជាន់លើឧបករណ៍សម្រាប់ការកែប្រែមូលដ្ឋានរបស់អ្នក៖

  1. នៅក្នុងផ្ទាំង Project Navigator Hierarchy ចុចកណ្ដុរស្ដាំលើឧទាហរណ៍ u_blinking_led ហើយបន្ទាប់មកចុច Logic Lock Region ➤ Create New Logic Lock Region។ តំបន់បង្ហាញនៅក្នុងបង្អួច Logic Lock Regions។
  2. បញ្ជាក់តំបន់មួយ ទទឹង 5 និងកំពស់ 5 ​​។
  3. បញ្ជាក់កូអរដោនេនៃតំបន់ដាក់សម្រាប់ u_blinking_led ក្នុងជួរឈរប្រភពដើម។ ប្រភពដើមត្រូវគ្នាទៅនឹងជ្រុងខាងឆ្វេងខាងក្រោមនៃតំបន់។ បញ្ជាក់ប្រភពដើមជា X166_Y199។ កម្មវិធីចងក្រងគណនា (X170 Y203) ជាកូអរដោនេខាងលើស្តាំ។
  4. បើកជម្រើសដែលបានបម្រុងទុក និងស្នូលសម្រាប់តែតំបន់។
  5. ចុចពីរដងលើជម្រើសតំបន់ផ្លូវ។ ប្រអប់កំណត់តំបន់កំណត់ផ្លូវចាក់សោរឡូជីខលលេចឡើង។
  6. សម្រាប់​ប្រភេទ​ការ​នាំផ្លូវ សូម​ជ្រើសរើស​បាន​ជួសជុល​ដោយ​ការពង្រីក។ ជម្រើសនេះកំណត់ប្រវែងពង្រីកមួយដោយស្វ័យប្រវត្តិ។
  7. ធ្វើជំហានមុនម្តងទៀត ដើម្បីបែងចែកធនធានខាងក្រោមសម្រាប់ភាគថាស u_top_counter៖
    • កម្ពស់—៥
    • ទទឹង—៥
    • ប្រភពដើម—X173_Y199
    • តំបន់​ការ​នាំ​ផ្លូវ — បាន​ជួសជុល​ជាមួយ​នឹង​ការ​ពង្រីក​ជាមួយ​នឹង​ប្រវែង​ពង្រីក​នៃ​មួយ។
    • កក់ទុក—បើក
    • Core-Only—បើក
    រូបភាពទី 6. Logic Lock Regions Window
    ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ intel Agilex F-Series FPGA - បង្អួចតំបន់
    ចំណាំ៖ តំបន់នាំផ្លូវត្រូវតែធំជាងតំបន់ដាក់ ដើម្បីផ្តល់ភាពបត់បែនបន្ថែមសម្រាប់ការកំណត់ផ្លូវរបស់កម្មវិធីចងក្រងtage នៅពេលដែល Compiler បញ្ជូនមនុស្សផ្សេងគ្នា។
  8. តំបន់ដាក់របស់អ្នកត្រូវតែភ្ជាប់ជាមួយតក្កវិជ្ជា blinking_led ។ ដើម្បីជ្រើសរើសតំបន់ដាក់ដោយកំណត់ទីតាំងថ្នាំងក្នុង Chip Planner ចុចកណ្ដុរស្ដាំលើឈ្មោះតំបន់ u_blinking_led នៅក្នុងបង្អួច Logic Lock Regions ហើយបន្ទាប់មកចុច Locate Node ➤ Locate in Chip Planner។
  9.  នៅក្រោមរបាយការណ៍ភាគថាស ចុចពីរដងលើរបាយការណ៍រចនាភាគថាស។ Chip Planner រំលេច និងពណ៌កូដតំបន់។

រូបភាពទី 7. Chip Planner Node ទីតាំងសម្រាប់ blinking_led
ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA -blinking_ledជាជម្រើស ការបន្ថែមបន្ទាត់ខាងក្រោមទៅ blinking_led.qsf បង្កើតតំបន់ទាំងនេះ៖
set_instance_assignment -name PARTITION pr_partition -to \u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition -to u_top_counter \ -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to \u_top_counter -entity top
set_instance_assignment -name PLACE_REGION “X166 Y199 X170 Y203” -to \u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON - to u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -name ROUTE_REGION “X165 Y198 X171 Y204” -to \u_blinking_led
set_instance_assignment -name RESERVE_ROUTE_REGION OFF - to u_blinking_led
set_instance_assignment -name PLACE_REGION “X173 Y199 X177 Y203” -to \u_top_counter
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -name ROUTE_REGION “X172 Y198 X178 Y204” -to \u_top_counter
set_instance_assignment -name RESERVE_ROUTE_REGION OFF - ទៅ u_top_counter
១.៥.៤. ជំហានទី 1.5.4: កំណត់បុគ្គល

ការរចនាឯកសារយោងនេះកំណត់បុគ្គលបីដាច់ដោយឡែកពីគ្នាសម្រាប់ភាគថាស PR តែមួយ និង SUPR persona មួយសម្រាប់តំបន់ SUPR ។ អនុវត្តតាមជំហានទាំងនេះដើម្បីកំណត់ និងរួមបញ្ចូលបុគ្គលទាំងនេះនៅក្នុងគម្រោងរបស់អ្នក។ ប្រសិនបើប្រើ Intel Quartus Prime Text Editor សូមបិទការបន្ថែម file
ទៅគម្រោងបច្ចុប្បន្ននៅពេលរក្សាទុក files.

  1. បង្កើត blinking_led_slow.sv ថ្មី blinking_led_empty.sv និង top_counter_fast.sv SystemVerilog files នៅក្នុងថតការងាររបស់អ្នក។ បញ្ជាក់ថា blinking_led.sv មានរួចហើយនៅក្នុងថតការងារ។
  2.  បញ្ចូលមាតិកាខាងក្រោមសម្រាប់ SystemVerilog files:
    តារាង 2. Reference Design Personas SystemVerilog
    File ឈ្មោះ ការពិពណ៌នា កូដ
    blinking_led_slow។ sv អំពូល LED ភ្លឹបភ្លែតៗ ខ្នាតពេលវេលា 1 ps / 1 ps 'default_nettype គ្មាន
    ម៉ូឌុល blinking_led_slow // នាឡិកា
    នាឡិកាខ្សែបញ្ចូល, កំណត់ខ្សែបញ្ចូលឡើងវិញ, ខ្សែបញ្ចូល [31:01 រាប់,
    // សញ្ញាបញ្ជាសម្រាប់ខ្សែភ្លើង LEDs ទិន្នផល led_two_on,
    ខ្សែលទ្ធផល led_three_on localparam COUNTER_TAP = 27;
    reg led_two_on_r; ជើង led_three_on_r; កំណត់ led_two_on = led_two_on_r; កំណត់ led_three_on = led_three_on_r; always_ff @(នាឡិកា posedge) ចាប់ផ្តើម led_two_on_r <= counter[COUNTER_TAP]; led_three_on_r <= counter[COUNTER_TAP]; ម៉ូឌុលបញ្ចប់
    blinking_led_empty។ sv LEDs នៅតែបើក ខ្នាតពេលវេលា 1 ps / 1 ps 'default_nettype none module blinking_led_empty( // នាឡិកាបញ្ចូលខ្សែនាឡិកា ការកំណត់ខ្សែបញ្ចូលឡើងវិញ ខ្សែបញ្ចូល [31:01 counter, // សញ្ញាបញ្ជាសម្រាប់ LEC- output wire led_two_on, output wire led_three_on
    បន្ត…
    File ឈ្មោះ ការពិពណ៌នា កូដ
    // LED is activ low assign led_two_on = l'IDO; កំណត់ led_three_on = 11b0; ម៉ូឌុលបញ្ចប់
    top_counter_fast.sv SUPR ទីពីរ 'ខ្នាតពេលវេលា 1 ps / 1 ps
    បុគ្គល Thdefault_nettype none module top_counter_fast
    // សញ្ញាបញ្ជាសម្រាប់ LEDs output wire led_one_on, output wire [31:0] count, // clock input wire clock
    ) ; localparam COUNTER TAP = 23; reg [31:0] count_d; assign count = count_d; កំណត់ led_one_on = ount_d[COUNTER_TAP]; always_ff @(នាឡិកា posedge) ចាប់ផ្តើម count_d <= count_d + 2; ចប់
    .: ម៉ូឌុល
  3.  ចុច File ➤ រក្សាទុកជា និងរក្សាទុក .sv files នៅក្នុងថតគម្រោងបច្ចុប្បន្ន។

១.៥.៥. ជំហានទី 1.5.5: បង្កើតការកែប្រែ
លំហូរនៃការរចនា PR ប្រើប្រាស់មុខងារកែប្រែគម្រោងនៅក្នុងកម្មវិធី Intel Quartus Prime ។ ការរចនាដំបូងរបស់អ្នកគឺជាការកែប្រែមូលដ្ឋាន ដែលអ្នកកំណត់ព្រំដែនតំបន់ឋិតិវន្ត និងតំបន់ដែលអាចកំណត់ឡើងវិញបាននៅលើ FPGA ។ ពីការកែប្រែមូលដ្ឋាន អ្នកបង្កើតការកែប្រែបន្ថែម។ ការកែប្រែទាំងនេះមានការអនុវត្តខុសៗគ្នាសម្រាប់តំបន់ PR ។ ទោះជាយ៉ាងណាក៏ដោយ រាល់ការកែប្រែការអនុវត្ត PR ទាំងអស់ប្រើប្រាស់ទីតាំងកម្រិតកំពូលដូចគ្នា និងលទ្ធផលកំណត់ផ្លូវពីការកែប្រែមូលដ្ឋាន។ ដើម្បីចងក្រងការរចនា PR អ្នកបង្កើតការកែប្រែការអនុវត្ត PR សម្រាប់បុគ្គលនីមួយៗ។ បន្ថែមពីលើនេះ អ្នកត្រូវតែកំណត់ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - មូលដ្ឋាន ឬការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - ប្រភេទការកែសម្រួលការអនុវត្ត Persona សម្រាប់ការកែប្រែនីមួយៗ។ តារាងខាងក្រោមរាយឈ្មោះការកែប្រែ និងប្រភេទការកែប្រែសម្រាប់ការកែប្រែនីមួយៗ។ ការកែប្រែ impl_blinking_led_supr_new.qsf គឺជាការអនុវត្ត SUPR persona ។
តារាងទី 3. កែប្រែឈ្មោះ និងប្រភេទ

ឈ្មោះកែប្រែ ប្រភេទការពិនិត្យឡើងវិញ
blinking_led ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - មូលដ្ឋាន
blinking_led_default ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - ការអនុវត្តបុគ្គល
blinking_led_slow ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - ការអនុវត្តបុគ្គល
blinking_led_empty ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - ការអនុវត្តបុគ្គល
impl_blinking_led_supr_ថ្មី។ ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - ការអនុវត្តបុគ្គល

១.៥.៥.១. ការកំណត់ការកែប្រែមូលដ្ឋាន
អនុវត្តតាមជំហានទាំងនេះដើម្បីកំណត់ blinking_led ជាការកែប្រែមូលដ្ឋាន៖

  1. ចុច គម្រោង ➤ ការកែប្រែ។
  2. សម្រាប់​ប្រភេទ​ការ​កែប្រែ សូម​ជ្រើសរើស​ការ​កំណត់​រចនាសម្ព័ន្ធ​ឡើងវិញ​ដោយ​ផ្នែក – មូលដ្ឋាន។

ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ intel Agilex F-Series FPGA - ការកែប្រែជំហាននេះបន្ថែមដូចខាងក្រោមទៅ blinking_led.qsf៖
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
១.៥.៥.២. ការបង្កើតការពិនិត្យឡើងវិញនៃការអនុវត្ត
អនុវត្តតាមជំហានទាំងនេះដើម្បីបង្កើតការកែសម្រួលការអនុវត្ត៖

  1. នៅ​ក្នុង​ប្រអប់​ការ​កែប្រែ ចុច​ពីរ​ដង < >
  2. នៅក្នុងឈ្មោះកំណែ បញ្ជាក់ blinking_led_default ហើយជ្រើសរើស blinking_led សម្រាប់ផ្អែកលើការកែប្រែ។
  3. សម្រាប់​ប្រភេទ​ការ​កែប្រែ សូម​ជ្រើសរើស​ការ​កំណត់​រចនាសម្ព័ន្ធ​ឡើងវិញ​ដោយ​ផ្នែក – ការ​អនុវត្ត​បុគ្គល។
  4. បិទជម្រើសកំណត់ជាកំណែបច្ចុប្បន្ន។
  5. ធ្វើជំហានទី 2 ដល់ទី 5 ម្តងទៀតដើម្បីកំណត់ប្រភេទការពិនិត្យឡើងវិញសម្រាប់ការកែសម្រួលការអនុវត្តផ្សេងទៀត៖
ឈ្មោះកែប្រែ ប្រភេទការពិនិត្យឡើងវិញ ផ្អែកលើ Revision
blinking_led_slow ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - ការអនុវត្តបុគ្គល blinking_led
blinking_led_empty ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - ការអនុវត្តបុគ្គល blinking_led
impl_blinking_led_supr_ថ្មី។ ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - ការអនុវត្តបុគ្គល blinking_led

រូបភាពទី 8. ការបង្កើតការពិនិត្យឡើងវិញនៃការអនុវត្ត

ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ FPGA របស់ Intel Agilex F-Series - ការពិនិត្យឡើងវិញនៃការអនុវត្តនីមួយៗ .qsf file ឥឡូវនេះមានកិច្ចការដូចខាងក្រោមៈ
set_global_assignment -name REVISION_TYPE PR_IMPL
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_top_counter
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_blinking_led
១.៥.៦. ជំហានទី 1.5.6: ចងក្រងការកែប្រែមូលដ្ឋាន
អនុវត្តតាមជំហានទាំងនេះ ដើម្បីចងក្រងការកែប្រែមូលដ្ឋាន និងនាំចេញតំបន់ឋិតិវន្ត និង SUPR សម្រាប់ប្រើប្រាស់នៅពេលក្រោយក្នុងការកែសម្រួលការអនុវត្តសម្រាប់បុគ្គល PR ថ្មី៖

  1. កំណត់ blinking_led ជាកំណែបច្ចុប្បន្ន ប្រសិនបើមិនទាន់បានកំណត់។
  2. នៅ​ក្នុង​បង្អួច​ផ្នែក​រចនា សូម​ចុច (…) ដែល​នៅ​ជិត​ជួរ​ឈរ​ខាង​ស្ដាំ​ឆ្ងាយ​បំផុត ហើយ​បើក​ការ​នាំ​ចេញ​ក្រោយ​ការ​ប្រកាស File ជួរឈរ។ អ្នកក៏អាចបិទ ឬផ្លាស់ប្តូរលំដាប់នៃជួរឈរផងដែរ។
  3. ដើម្បីនាំចេញរូបថតចុងក្រោយនៃភាគថាសរចនាការអនុវត្ត PR ដោយស្វ័យប្រវត្តិបន្ទាប់ពីការចងក្រងនីមួយៗ សូមបញ្ជាក់ខាងក្រោមសម្រាប់ការនាំចេញក្រោយការបញ្ចប់ File ជម្រើសសម្រាប់ភាគថាសជា root និង SUPR ។ .qdb files នាំចេញទៅថតគម្រោងតាមលំនាំដើម។
    • root_partition—blinking_led_static.qdb
    • supr_partition—blinking_led_supr_partition_final.qdb
    រូបភាពទី 9. ការនាំចេញដោយស្វ័យប្រវត្តិនៅក្នុងបង្អួចភាគថាសរចនាក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA - បង្អួចភាគថាសជាជម្រើស កិច្ចការ .qsf ខាងក្រោមនាំចេញភាគថាសដោយស្វ័យប្រវត្តិបន្ទាប់ពីការចងក្រងនីមួយៗ៖
    set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | - អង្គភាពកំពូល
    set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity top
  4. ដើម្បីចងក្រងការកែប្រែមូលដ្ឋាន blinking_led ចុចដំណើរការ ➤ ចាប់ផ្តើម
    ការចងក្រង។ ជាជម្រើសអ្នកអាចប្រើពាក្យបញ្ជាខាងក្រោមដើម្បីចងក្រងការកែប្រែនេះ៖
    quartus_sh –flow compile blinking_led -c blinking_led បន្ទាប់ពីការចងក្រងដោយជោគជ័យ ខាងក្រោមនេះ files លេចឡើងក្នុងថតគម្រោង៖
    • blinking_led.sof
    • blinking_led.pr_partition.rbf
    • blinking_led.supr_partition.rbf
    • blinking_led_static.qdb
    • blinking_led_supr_partition_final.qdb

១.៥.៧. ជំហានទី 1.5.7: រៀបចំការកែសម្រួលការអនុវត្ត PR
អ្នកត្រូវតែរៀបចំការកែប្រែការអនុវត្ត PR មុនពេលអ្នកអាចបង្កើត PR bitstream សម្រាប់កម្មវិធីឧបករណ៍។ ការរៀបចំនេះរួមបញ្ចូលការបន្ថែមតំបន់ឋិតិវន្ត .qdb file ជាប្រភព file សម្រាប់ការពិនិត្យឡើងវិញនៃការអនុវត្តនីមួយៗ។ លើសពីនេះទៀតអ្នកត្រូវតែបញ្ជាក់
អង្គភាពដែលត្រូវគ្នានៃតំបន់ PR ។ សូមអនុវត្តតាមជំហានទាំងនេះ ដើម្បីរៀបចំការកែសម្រួលការអនុវត្ត PR៖

  1.  ដើម្បីកំណត់ការកែប្រែបច្ចុប្បន្ន សូមចុច Project ➤ Revisions ជ្រើសរើស blinking_led_default ជាឈ្មោះកែប្រែ ហើយបន្ទាប់មកចុច Set Current។ ជាជម្រើស អ្នកអាចជ្រើសរើសការកែប្រែបច្ចុប្បន្ននៅលើរបារឧបករណ៍ Intel Quartus Prime ចម្បង។
  2. ដើម្បីផ្ទៀងផ្ទាត់ប្រភពត្រឹមត្រូវសម្រាប់ការកែប្រែការអនុវត្តនេះ សូមចុច គម្រោង ➤ បន្ថែម/លុប Files នៅក្នុងគម្រោង។ បញ្ជាក់ថា blinking_led.sv file លេចឡើងនៅក្នុង file បញ្ជី។ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ FPGA intel Agilex F-Series - Partitions Window 1
  3. ដើម្បីផ្ទៀងផ្ទាត់ប្រភពត្រឹមត្រូវ។ file សម្រាប់ការកែសម្រួលការអនុវត្ត សូមចុច គម្រោង ➤ បន្ថែម/លុបចេញ files ក្នុង Project ហើយបន្ថែមប្រភពខាងក្រោម files សម្រាប់ការកែប្រែការអនុវត្ត។ ប្រសិនបើមានវត្តមាន សូមលុប blinking_led.sv ចេញពីបញ្ជីគម្រោង files.
    Iឈ្មោះ​កំណែ​ទម្រង់​ការ​អនុវត្ត ប្រភព File
    blinking_led_empty blinking_led_empty.sv
    blinking_led_slow blinking_led_slow.sv
  4. កំណត់ blinking_led_default ជាកំណែបច្ចុប្បន្ន។
  5. ដើម្បីបញ្ជាក់ .qdb file ជាប្រភពសម្រាប់ root_partition សូមចុច Assignments ➤ Design Partitions Window។ ចុចទ្វេដងលើមូលដ្ឋានទិន្នន័យភាគថាស File ក្រឡា និងបញ្ជាក់ blinking_led_static.qdb file.
  6. ដូចគ្នានេះដែរ បញ្ជាក់ blinking_led_supr_partition_final.qdb ជាមូលដ្ឋានទិន្នន័យភាគថាស File សម្រាប់ supr_partition ។

    រូបភាពទី 10 ។ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ intel Agilex F-Series FPGA - បញ្ជាក់ជាជម្រើស ប្រើការចាត់តាំង .qsf ខាងក្រោមដើម្បីបញ្ជាក់ .qdb៖
    set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
    set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_supr_partition_final.qdb -to u_top_counter

  7. នៅក្នុងបង្អួចផ្នែកឌីហ្សាញ សូមចុច (…) ដែលនៅជាប់នឹងជួរឈរខាងស្តាំបំផុត ហើយបើកដំណើរការជួរឈរចងធាតុឡើងវិញ។
  8.  នៅក្នុងក្រឡាចងភ្ជាប់អង្គភាពឡើងវិញ បញ្ជាក់ឈ្មោះអង្គភាពថ្មីសម្រាប់ភាគថាស PR ដែលអ្នកកំពុងផ្លាស់ប្តូរនៅក្នុងការកែប្រែការអនុវត្តបច្ចុប្បន្ន។ សម្រាប់ការពិនិត្យឡើងវិញនៃការអនុវត្ត blinking_led_default ឈ្មោះអង្គភាពគឺ blinking_led ។ ក្នុងករណីនេះ អ្នកកំពុងសរសេរជាន់ពីលើ u_blinking_led instance ពីការកែប្រែមូលដ្ឋាន compile ជាមួយ entity blinking_led ថ្មី។ សម្រាប់ការកែប្រែការអនុវត្តផ្សេងទៀត សូមមើលតារាងខាងក្រោម៖

    ការពិនិត្យឡើងវិញ តម្លៃចងឡើងវិញរបស់អង្គភាព
    blinking_led_slow blinking_led_slow
    blinking_led_empty blinking_led_empty

    រូបភាពទី 11. ការចងឡើងវិញអង្គភាពក្រុមប្រឹក្សាអភិវឌ្ឍន៍ intel Agilex F-Series FPGA - ការភ្ជាប់ឡើងវិញម៉្យាងទៀត អ្នកអាចប្រើបន្ទាត់ខាងក្រោមនៅក្នុង .qsf នៃការកែប្រែនីមួយៗដើម្បីកំណត់កិច្ចការ៖
    ##blinking_led_default.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led \ -to u_blinking_led
    ##blinking_led_slow.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
    ##blinking_led_empty.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led

  9. លុបអត្ថបទ place_holder ពីក្រឡាចងឡើងវិញ Entity សម្រាប់ supr_partition ។
  10. ដើម្បីចងក្រងការរចនា សូមចុចដំណើរការ ➤ ចាប់ផ្តើមការចងក្រង។ ជាជម្រើស ប្រើពាក្យបញ្ជាខាងក្រោមដើម្បីចងក្រងគម្រោងនេះ៖ quartus_sh –flow compile blinking_led –c blinking_led_default
  11. ធ្វើជំហានទី 4 ដល់ 11 ម្តងទៀត ដើម្បីរៀបចំ និងចងក្រងការកែប្រែការអនុវត្ត blinking_led_slow និង blinking_led_empty ។

១.៥.៨. ជំហានទី 1.5.8: ផ្លាស់ប្តូរតក្កវិជ្ជា SUPR
ដើម្បីផ្លាស់ប្តូរមុខងារនៃតក្កវិជ្ជានៅក្នុងភាគថាស SUPR អ្នកត្រូវតែផ្លាស់ប្តូរប្រភពភាគថាស SUPR ។ បំពេញជំហានខាងក្រោមដើម្បីជំនួសវត្ថុ u_top_counter នៅក្នុងភាគថាស SUPR ជាមួយអង្គភាព top_counter_fast ។

  1. ដើម្បីកំណត់ការកែប្រែការអនុវត្ត SUPR ជាបច្ចុប្បន្ន សូមចុច គម្រោង ➤ ការកែប្រែ ហើយកំណត់ impl_blinking_led_supr_new ជាការកែប្រែបច្ចុប្បន្ន ឬជ្រើសរើស
    ការពិនិត្យឡើងវិញនៅលើរបារឧបករណ៍សំខាន់ Intel Quartus Prime ។
  2. ដើម្បីផ្ទៀងផ្ទាត់ប្រភពត្រឹមត្រូវ។ file សម្រាប់ការពិនិត្យឡើងវិញនៃការអនុវត្ត សូមចុច គម្រោង ➤
    បន្ថែម/លុប files នៅក្នុងគម្រោង ហើយផ្ទៀងផ្ទាត់ថា top_counter_fast.sv គឺជាប្រភពសម្រាប់ការកែប្រែការអនុវត្ត impl_blinking_led_supr_new ។ ប្រសិនបើមានវត្តមាន សូមដក top_counter.sv ចេញពីបញ្ជីគម្រោង files.ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ intel Agilex F-Series FPGA - កិច្ចការ
  3. ដើម្បីបញ្ជាក់ .qdb file ភ្ជាប់ជាមួយភាគថាសជា root ចុច Assignments ➤ Design Partitions Window ហើយបន្ទាប់មកចុចពីរដងលើ Partition Database File ក្រឡាដើម្បីបញ្ជាក់ blinking_led_static.qdb ។
    ម្យ៉ាងវិញទៀត ប្រើពាក្យបញ្ជាខាងក្រោមដើម្បីចាត់ចែងវា។ file៖ set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
  4. នៅក្នុងក្រឡាចងឡើងវិញអង្គភាពសម្រាប់ pr_partition បញ្ជាក់ឈ្មោះអង្គភាពសមស្រប។ សម្រាប់អតីតនេះ។ample បញ្ជាក់អង្គភាព blinking_led_empty ។ ក្នុងករណីនេះ អ្នកកំពុងសរសេរជាន់ពីលើ u_blinking_led instance ពីការកែប្រែមូលដ្ឋាន ចងក្រងជាមួយ entity linking_led_empty ថ្មី។ ឥឡូវនេះ បន្ទាត់ខាងក្រោមមាននៅក្នុង .qsf៖
    ##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led
  5. នៅក្នុងក្រឡាចងឡើងវិញ Entity សម្រាប់ supr_partition បញ្ជាក់ធាតុ top_counter_fast ។ top_counter_fast គឺជាឈ្មោះរបស់អង្គភាពឋិតិវន្តដែលជំនួស u_top_counter នៅពេលអ្នកបញ្ចប់ SUPR ។ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA - SUPR##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to u_top_counter
  6. ដើម្បីចងក្រងការរចនា សូមចុចដំណើរការ ➤ ចាប់ផ្តើមការចងក្រង។ ជាជម្រើស ប្រើពាក្យបញ្ជាខាងក្រោមដើម្បីចងក្រងការកែសម្រួលគម្រោងនេះ៖ quartus_sh –flow compile blinking_led –c\ impl_blinking_led_supr_new

១.៥.៩. ជំហានទី 1.5.9: កម្មវិធីក្រុមប្រឹក្សាភិបាល
អនុវត្តតាមជំហានទាំងនេះដើម្បីភ្ជាប់ និងរៀបចំកម្មវិធីក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA ។

  1. ភ្ជាប់ការផ្គត់ផ្គង់ថាមពលទៅក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA ។
  2. ភ្ជាប់ខ្សែ USB រវាងរន្ធ USB កុំព្យូទ័ររបស់អ្នក និងផ្នែករឹងកម្មវិធី USB នៅលើបន្ទះអភិវឌ្ឍន៍។
  3. បើកកម្មវិធី Intel Quartus Prime ហើយបន្ទាប់មកចុចលើ Tools ➤ Programmer ។ យោងទៅលើ Programming a Development Board។
  4. នៅក្នុង Programmer សូមចុច Hardware Setup ហើយបន្ទាប់មកជ្រើសរើស USB-Blaster។
  5. ចុច Auto Detect ហើយបន្ទាប់មកជ្រើសរើសឧបករណ៍ AGFB014R24B។
  6.  ចុចយល់ព្រម។ កម្មវិធី Intel Quartus Prime រកឃើញ និងធ្វើបច្ចុប្បន្នភាព Programmer ជាមួយនឹងឧបករណ៍ FPGA ទាំងបីនៅលើក្តារ។
  7.  ជ្រើសរើសឧបករណ៍ AGFB014R24B ចុច ផ្លាស់ប្តូរ Fileហើយផ្ទុក blinking_led_default.sof file.
  8. បើកកម្មវិធី/កំណត់រចនាសម្ព័ន្ធសម្រាប់ blinking_led_default.sof file.
  9. ចុចចាប់ផ្តើមហើយរង់ចាំរបារវឌ្ឍនភាពឈានដល់ 100% ។
  10.  សង្កេតមើល LEDs នៅលើបន្ទះភ្លឹបភ្លែតៗ។
  11. ដើម្បីដាក់កម្មវិធីតែតំបន់ PR ចុចខាងស្តាំលើ blinking_led_default.sof file នៅក្នុង Programmer ហើយចុច Add PR Programming File. ជ្រើសរើស blinking_led_slow.pr_partition.rbf file.
  12. បិទកម្មវិធី/កំណត់រចនាសម្ព័ន្ធសម្រាប់ blinking_led_default.sof file.
  13.  បើកកម្មវិធី/កំណត់រចនាសម្ព័ន្ធសម្រាប់ blinking_led_slow.pr_partition.rbf fileហើយបន្ទាប់មកចុចចាប់ផ្តើម។ នៅលើក្តារ សង្កេត LED[0] និង LED[1] បន្តព្រិចភ្នែក។ នៅពេលដែលរបារដំណើរការឈានដល់ 100%, LED[2] និង LED[3] ព្រិចភ្នែកយឺតជាង។
  14. ដើម្បីរៀបចំកម្មវិធីតំបន់ PR ឡើងវិញ ចុចខាងស្តាំលើ .rbf file នៅក្នុង Programmer ហើយបន្ទាប់មកចុច Change PR Programing File.
  15.  ជ្រើសរើស .rbf files សម្រាប់បុគ្គលពីរនាក់ផ្សេងទៀតដើម្បីសង្កេតមើលអាកប្បកិរិយានៅលើក្តារ។ កំពុងផ្ទុក blinking_led_default.pr_partition.rbf file បណ្តាលឱ្យ LEDs ភ្លឹបភ្លែតៗនៅប្រេកង់ដើម ហើយកំពុងដំណើរការ blinking_led_empty.pr_partition.rbf file បណ្តាលឱ្យ LEDs បើក។ 17. ដើម្បីផ្លាស់ប្តូរតក្កវិជ្ជា SUPR សូមធ្វើជំហានទី 7 ខាងលើម្តងទៀត ដើម្បីជ្រើសរើស impl_blinking_led_supr_new.sof ។ បន្ទាប់ពីការផ្លាស់ប្តូរនេះ។ file, ដឹកនាំ [0:1] ឥឡូវនេះព្រិចភ្នែកក្នុងអត្រាលឿនជាងមុន។ PR ផ្សេងទៀត .rbf files ក៏ត្រូវគ្នាជាមួយ .sof ថ្មី។
    ចំណាំ៖ Assembler បង្កើត .rbf file សម្រាប់តំបន់ SUPR ។ ទោះយ៉ាងណាក៏ដោយអ្នកមិនគួរប្រើវាទេ។ file ដើម្បីរៀបចំកម្មវិធី FPGA ឡើងវិញនៅពេលដំណើរការ ដោយសារភាគថាស SUPR មិនធ្វើឱ្យស្ពានបង្កក ឧបករណ៍បញ្ជាតំបន់ PR និងតក្កវិជ្ជាផ្សេងទៀតនៅក្នុងប្រព័ន្ធទាំងមូល។ នៅពេលអ្នកធ្វើការផ្លាស់ប្តូរចំពោះតក្កកម្មភាគថាស SUPR អ្នកត្រូវតែរៀបចំកម្មវិធី .sof ពេញលេញឡើងវិញ file ពីការចងក្រងការពិនិត្យឡើងវិញនៃការអនុវត្ត SUPR ។

រូបភាពទី 12. ការសរសេរកម្មវិធីក្រុមប្រឹក្សាអភិវឌ្ឍន៍
ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA - ក្រុមប្រឹក្សាភិបាល១.៥.៩.១. ការដោះស្រាយបញ្ហា PR Programming Errors
ការធានាបាននូវការដំឡើងត្រឹមត្រូវនៃ Intel Quartus Prime Programmer និងផ្នែករឹងដែលបានភ្ជាប់ជួយជៀសវាងកំហុសណាមួយក្នុងអំឡុងពេលសរសេរកម្មវិធី PR ។
ប្រសិនបើអ្នកប្រឈមមុខនឹងកំហុសក្នុងការសរសេរកម្មវិធី PR សូមយោងទៅលើ "ការដោះស្រាយបញ្ហាកំហុសក្នុងការសរសេរកម្មវិធី PR" នៅក្នុងការណែនាំអ្នកប្រើប្រាស់ Intel Quartus Prime Pro Edition: ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកសម្រាប់ការណែនាំអំពីការដោះស្រាយបញ្ហាជាជំហានៗ។
ព័ត៌មានពាក់ព័ន្ធ

ការដោះស្រាយបញ្ហា PR Programming Errors

១.៥.១០. ការកែប្រែភាគថាស SUPR
អ្នកអាចកែប្រែភាគថាស SUPR ដែលមានស្រាប់។ បន្ទាប់ពីកែប្រែភាគថាស SUPR អ្នកត្រូវតែចងក្រងវាបង្កើត .sof fileនិងរៀបចំកម្មវិធីក្រុមប្រឹក្សាភិបាល ដោយមិនចងក្រងបុគ្គលផ្សេងទៀត។ សម្រាប់អតីតample សូមអនុវត្តតាមជំហានទាំងនេះ ដើម្បីផ្លាស់ប្តូរម៉ូឌុល top_counter_fast.sv ដើម្បីរាប់កាន់តែលឿន៖

  1. កំណត់ impl_blinking_led_supr_new ជាការកែប្រែបច្ចុប្បន្ន។
  2.  នៅក្នុង top_counter_fast.sv fileជំនួសសេចក្តីថ្លែងការណ៍ count_d + 2 ជាមួយ count_d + 4 ។
  3.  ដំណើរការពាក្យបញ្ជាខាងក្រោមដើម្បីសំយោគប្លុក SUPR ឡើងវិញ និងបង្កើត .sof ថ្មី។ file៖ quartus_sh –flow compile blinking_led \ -c impl_blinking_led_supr_new
    លទ្ធផល .sof ឥឡូវនេះមានតំបន់ SUPR ថ្មី ហើយប្រើ blinking_led សម្រាប់ default (power-on) persona ។

១.៦. ប្រវត្តិនៃការកែប្រែឯកសារនៃ AN 1.6៖ ការធ្វើបច្ចុប្បន្នភាពឋិតិវន្ត ការកំណត់រចនាសម្ព័ន្ធឡើងវិញផ្នែកខ្លះ ប្រវត្តិការពិនិត្យឡើងវិញ

កំណែឯកសារ កំណែ Intel Quartus Prime ការផ្លាស់ប្តូរ
2022.10.24 ០១. ការចេញផ្សាយដំបូងនៃឯកសារ។

បានធ្វើបច្ចុប្បន្នភាពសម្រាប់ Intel® Quartus®Prime Design Suite: 22.3

ចម្លើយចំពោះសំណួរដែលពេញនិយមបំផុត៖

ផ្ញើមតិកែលម្អ

Q តើអ្វីទៅជាការកំណត់រចនាសម្ព័ន្ធផ្នែកអាប់ដេតឋិតិវន្ត

ការកំណត់រចនាសម្ព័ន្ធផ្នែកខ្លះនៃបច្ចុប្បន្នភាពឋិតិវន្តនៅទំព័រទី 3

Q តើខ្ញុំត្រូវការអ្វីខ្លះសម្រាប់ការបង្រៀននេះ?

តម្រូវការមេរៀននៅទំព័រទី 3

Q តើខ្ញុំអាចទទួលបានការរចនាយោងនៅឯណា?

ការរចនាឯកសារយោងទាញយក Files នៅទំព័រ 5

Q តើខ្ញុំបង្កើតការរចនា SUPR យ៉ាងដូចម្តេច?

ការណែនាំអំពីការរចនាឯកសារយោងនៅទំព័រ 6

Q តើ PR persona ជាអ្វី?

កំណត់បុគ្គលនៅទំព័រ 10

Q តើខ្ញុំផ្លាស់ប្តូរតក្កវិជ្ជា SUPR យ៉ាងដូចម្តេច? ការផ្លាស់ប្តូរតក្កវិជ្ជា SUPR នៅទំព័រ 16

ការផ្លាស់ប្តូរតក្កវិជ្ជា SUPR នៅទំព័រ 16

សំណួរ តើ​ខ្ញុំ​រៀបចំ​កម្មវិធី​ក្ដារ​ដោយ​របៀប​ណា?

កម្មវិធីក្រុមប្រឹក្សាភិបាលនៅទំព័រ 18

Q តើ PR ស្គាល់បញ្ហា និងដែនកំណត់អ្វីខ្លះ?

វេទិកាគាំទ្រ Intel FPGA: PR

ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ intel Agilex F-Series FPGA - រូបតំណាង កំណែអនឡាញ
ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ intel Agilex F-Series FPGA - រូបតំណាង 154 ផ្ញើមតិកែលម្អ

លេខសម្គាល់៖ 749443
AN-987
កំណែ៖ 2022.10.24

ឯកសារ/ធនធាន

ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA [pdf] ការណែនាំអ្នកប្រើប្រាស់
Agilex F-Series, Agilex F-Series FPGA Development Board, ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ FPGA, ក្រុមប្រឹក្សាអភិវឌ្ឍន៍, ក្រុមប្រឹក្សាភិបាល

ឯកសារយោង

ទុកមតិយោបល់

អាសយដ្ឋានអ៊ីមែលរបស់អ្នកនឹងមិនត្រូវបានផ្សព្វផ្សាយទេ។ វាលដែលត្រូវការត្រូវបានសម្គាល់ *