AN 987: Kusintha Kwapang'onopang'ono
Reconfiguration Maphunziro
Maphunziro Okhazikika Okhazikika a Intel® ™ Agilex F-Series FPGA Development Board
Cholemba ichi chikuwonetsa kukonzanso pang'ono (SUPR) pa Intel ® F-Series FPGA Development Board. Kukonzanso pang'ono (PR) kumakupatsani mwayi wokonzanso gawo la Intel FPGA mwamphamvu, pomwe FPGA yotsalayo ikugwirabe ntchito. PR imagwiritsa ntchito anthu angapo mdera linalake pamapangidwe anu, osakhudza magwiridwe antchito akunja kwa dera lino. Njira iyi imapereka advan yotsatirayitages m'machitidwe omwe ntchito zambiri zimagawana nthawi yomweyo za FPGA:
- Amalola kukonzanso nthawi yothamanga
- Kumawonjezera kapangidwe scalability
- Amachepetsa kutsika kwadongosolo
- Imathandizira magwiridwe antchito ochulukitsa nthawi pamapangidwe
- Amachepetsa mtengo ndi kugwiritsa ntchito mphamvu pogwiritsa ntchito bwino malo a board
Kodi Static Update Partial Reconfiguration ndi chiyani?
Pachikhalidwe cha PR, kusintha kulikonse kudera lokhazikika kumafuna kubwezanso kwamunthu aliyense. Komabe, ndi SUPR mutha kufotokozera dera lapadera lomwe limalola kusintha, osafuna kubwezanso anthu. Njirayi ndi yothandiza pamapangidwe angapo omwe mungafune kusintha kuti muchepetse chiopsezo, koma izi sizimafuna kukonzanso nthawi yothamanga.
1.1. Zofunikira pa Maphunziro
Maphunzirowa amafuna izi:
- Kudziwa koyambira kwa Intel Quartus® Prime Pro Edition FPGA kuyendetsa ndi projekiti files.
- Kuyika kwa Intel Quartus Prime Pro Edition 22.3, mothandizidwa ndi chipangizo cha Intel Agilex.
- Pokhazikitsa FPGA, JTAG kugwirizana ndi Intel Agilex F-Series FPGA board board pa benchi.
- Tsitsani Reference Design Files. Zambiri Zogwirizana
- Kalozera Wogwiritsa Ntchito Kusintha Kwapang'ono
- Maphunziro Osintha Mwapang'ono
- Kukonzekera Kwapang'onopang'ono Maphunziro a Paintaneti
Malingaliro a kampani Intel Corporation Maumwini onse ndi otetezedwa. Intel, logo ya Intel, ndi zizindikiro zina za Intel ndi zizindikiro za Intel Corporation kapena mabungwe ake. Intel imatsimikizira kugwira ntchito kwa FPGA yake ndi zida za semiconductor malinga ndi zomwe zili pano malinga ndi chitsimikizo cha Intel, koma ili ndi ufulu wosintha zinthu ndi ntchito zilizonse nthawi iliyonse popanda kuzindikira. Intel sakhala ndi udindo kapena udindo chifukwa cha kugwiritsa ntchito kapena kugwiritsa ntchito zidziwitso zilizonse, malonda, kapena ntchito zomwe zafotokozedwa pano kupatula monga momwe Intel adavomerezera momveka bwino. Makasitomala a Intel amalangizidwa kuti apeze mtundu waposachedwa kwambiri wamakina a chipangizocho asanadalire zidziwitso zilizonse zosindikizidwa komanso asanayike maoda azinthu kapena ntchito. *Mayina ena ndi mtundu zitha kunenedwa kuti ndi za ena.
ISO 9001:2015 Adalembetsedwa
1.2. Reference Design Yathaview
Mapangidwe awa ali ndi kauntala imodzi, 32-bit. Pamlingo wa bolodi, mapangidwewo amalumikiza wotchiyo ndi gwero la 50MHz, kenako ndikulumikiza zotuluka ndi ma LED anayi pa bolodi. Kusankha zotulutsa kuchokera ku ma counter bits, motsatira ndondomeko inayake, kumapangitsa kuti ma LED aziwombera pafupipafupi. Top_counter module ndi dera la SUPR.
Chithunzi 1. Flat Reference Design
1.3. Static Update Region Overview
Chithunzi chotsatira chikuwonetsa chithunzi cha block cha mapangidwe a PR omwe akuphatikizapo dera la SUPR. Block A ndi malo apamwamba kwambiri. Block B ndi dera la SUPR. Block C ndi gawo la PR.
Chithunzi 2. PR Design ndi SUPR Region

- Chigawo Chapamwamba Chokhazikika-chili ndi malingaliro apangidwe omwe sasintha. Kusintha derali kumafuna kubwezanso anthu onse ogwirizana nawo. Dera lokhazikika limaphatikizapo gawo la mapangidwe omwe sasintha kwa munthu aliyense. Derali litha kukhala ndi zida zam'mphepete ndi zoyambira. Muyenera kulembetsa kulumikizana konse pakati pa magawo a SUPR ndi PR mdera lokhazikika. Kufunika uku kumathandiza kuonetsetsa kuti kutsekedwa kwa nthawi kwa anthu onse, pokhudzana ndi dera lokhazikika.
- B Chigawo cha SUPR-chili ndi mfundo zokhazokha zomwe zingathe kusintha kuti zichepetse chiopsezo, koma sizimafuna kukonzanso nthawi yothamanga. Dera la SUPR lili ndi zofunikira ndi zoletsa zomwezo monga gawo la PR. Gawo la SUPR litha kukhala ndi zofunikira zokha. Chifukwa chake, gawo la SUPR liyenera kukhala gawo lachibwana la magawo apamwamba omwe amakhala ndi zozungulira ndi mawotchi. Kusintha dera la SUPR kumapanga SRAM Object File (.sof) zomwe zimagwirizana ndi zonse zomwe zilipo kale za Raw Binary File (.rbf) files kwa gawo la PR C.
- C PR Partition - ili ndi malingaliro osamveka kuti mutha kukonzanso panthawi yothamanga ndi malingaliro aliwonse apangidwe omwe amakwanira ndikukwaniritsa kutseka kwa nthawi pakuphatikiza.
1.4. Tsitsani Reference Design Files
Phunziro lokonzanso pang'ono likupezeka pamalo otsatirawa: https://github.com/intel/fpga-partial-reconfig
Kutsitsa maphunziro:
- Dinani Clone kapena tsitsani.
- Dinani Tsitsani ZIP. Tsegulani fpga-partial-reconfig-master.zip file.
- Yendetsani ku maphunziro/agilex_pcie_devkit_blinking_led_supr kafoda kakang'ono kuti mupeze zolembera.
Chikwatu chathyathyathya chimakhala ndi zotsatirazi files:
Table 1. Reference Design Files
| File Dzina | Kufotokozera |
| pamwamba. sv | Pamwambamwamba file zomwe zili ndi kukhazikitsa kwadongosolo kwapangidwe. Gawoli limayambitsa gawo la blinking_led sub-partition ndi top_counter module. |
| t op_counter . sv | Kauntala yapamwamba ya 32-bit yomwe imayang'anira LED [1] mwachindunji. Kutulutsa kolembetsedwa kwa kauntala kumawongolera LED [0], komanso kumawonjezera mphamvu za LED [2] ndi LED [3] kudzera pagawo la blinking_led. |
| blinking_led. sdc ndi | Imatanthauzira zolepheretsa nthawi ya polojekiti. |
| blinking_led. sv | Mu phunziro ili, mumasintha gawo ili kukhala gawo la PR la makolo. Gawoli limalandira zotuluka zolembetsedwa za top_counter module, yomwe imawongolera LED [2] ndi LED [3]. |
| blinking_led.qpf | Intel Quartus Prime Project file zomwe zili ndi mndandanda wa zosinthidwa zonse mu polojekitiyi. |
| blinking_led . qs f | Zokonda za Intel Quartus Prime file zomwe zili ndi ntchito ndi zokonda za polojekitiyi. |
Zindikirani: Foda ya supr ili ndi seti yathunthu ya filemumapanga pogwiritsa ntchito pulogalamuyi Zindikirani. Fotokozerani izi files nthawi iliyonse panthawi yoyenda.
1.5. Reference Design Walkthrough
Njira zotsatirazi zikufotokozera kukhazikitsidwa kwa SUPR ndi kapangidwe kanyumba:
- Gawo 1: Chiyambi
- Khwerero 2: Pangani Magawo Opanga
- Khwerero 3: Perekani Magawo Oyikira ndi Njira Zolowera
- Gawo 4: Tanthauzirani Anthu
- Gawo 5: Pangani Zosintha
- Khwerero 6: Konzani Base Revision
- Khwerero 7: Khazikitsani Zosintha za PR
- Khwerero 8: Sinthani Mfundo za SUPR
- Khwerero 9: Konzani Bungwe
Chithunzi 3. SUPR Compilation Flow

1.5.1. Gawo 1: Chiyambi
Kukopera mawonekedwe ofotokozera files kumalo anu ogwirira ntchito ndikupanga blinking_led flat design:
- Musanayambe, Tsitsani Reference Design Files patsamba 5.
- Pangani chikwatu cha agilex_pcie_devkit_blinking_led_supr pamalo anu ogwirira ntchito.
- Koperani maphunziro otsitsidwa/agilex_pcie_devkit_blinking_led/flat sub-folder ku agilex_pcie_devkit_blinking_led_supr chikwatu.
- Mu pulogalamu ya Intel Quartus Prime Pro Edition, dinani File ➤ Tsegulani Project ndikutsegula /flat/blinking_led.qpf.
- Kuti mupange mapangidwe oyambira, dinani Kukonza ➤ Yambani Kuphatikiza. Malipoti a Timing Analyzer amatseguka zokha akamaliza kumaliza. Mutha kutseka Timing Analyzer pakadali pano.
1.5.2. Khwerero 2: Pangani Magawo Opanga
Pangani magawo opangira gawo lililonse lomwe mukufuna kusintha pang'ono. Mutha kupanga magawo angapo odziyimira pawokha kapena zigawo za PR mu polojekiti yanu. Tsatirani izi kuti mupange magawo opangira mawonekedwe a u_blinking_led monga gawo la PR, ndi u_top_counter monga gawo la SUPR:
- Dinani kumanja chithunzi cha u_blinking_led mu Project Navigator ndikudina Design Partition
➤ Zosinthanso. Chizindikiro cha magawo opangira chimawonekera pafupi ndi chochitika chilichonse chomwe chimayikidwa ngati gawo.
Chithunzi 4. Kupanga Magawo Opanga
- Bwerezani gawo 1 kuti mupange gawo la u_top_counter.
- Dinani Ntchito ➤ Zenera la Magawo Opanga. Iwindo likuwonetsa magawo onse apangidwe mu polojekitiyi.
Chithunzi 5. Mazenera Opanga Magawo

- Dinani kawiri blinking_led Partition Name cell kuti muisinthe kukhala pr_partition. Momwemonso, sinthaninso gawo la top_counter kukhala supr_partition.
Kapenanso, kuwonjezera mizere yotsatirayi ku blinking_led.qsf kumapanga magawo awa:
set_instance_assignment -name PARTITION pr_partition \ -to_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -ku_blinking_led -pamwamba
set_instance_assignment -name PARTITION supr_partition \ -to_top_counter -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -chinthu pamwamba
1.5.3. Khwerero 3: Perekani Magawo Oyikira ndi Njira Zolowera
Pakusintha kulikonse komwe mumapanga, Compiler amagwiritsa ntchito gawo la magawo a PR kuti akhazikitse maziko ofananirako m'dera losungidwa. Tsatirani izi kuti mupeze ndikugawa dera la PR mu pulani ya chipangizocho kuti muwunikenso:
- Pagawo la Project Navigator Hierarchy, dinani kumanja chithunzi cha u_blinking_led, ndiyeno dinani Chigawo Chotseka cha Logic ➤ Pangani Chigawo Chatsopano Chokhoma. Dera likuwonekera pawindo la Logic Lock Regions.
- Tchulani chigawo Chotalikirapo cha 5 ndi Kutalika kwa 5.
- Tchulani madera omwe amatengera u_blinking_led mugawo loyambira. Zoyambira zimagwirizana ndi ngodya ya kumanzere kwa dera. Nenani Choyambira ngati X166_Y199. Wopangayo amawerengera (X170 Y203) ngati cholumikizira chakumanja.
- Yambitsani zosankha Zosungidwa ndi Zofunika Kwambiri zachigawocho.
- Dinani kawiri kusankha kwa Routing Region. Bokosi la zokambirana la Logic Lock Routing Region Settings likuwonekera.
- Pamtundu wa Routing, sankhani Zokhazikika ndikukulitsa. Izi zimangopereka kutalika kwa Kukula kwa imodzi.
- Bwerezani njira zam'mbuyomu kuti mugawire zinthu zotsatirazi pagawo la u_top_counter:
• Kutalika—5
• M’lifupi—5
• Chiyambi—X173_Y199
• Dera la Njira- Kukhazikika ndi kukulitsa ndi Kukulitsa kutalika kwa imodzi.
• Zosungidwa—Yayatsidwa
• Pakatikati-Okha—Oyatsa
Chithunzi 6. Logic Lock Zigawo Zenera
Zindikirani: Dera lolowera liyenera kukhala lokulirapo kuposa momwe amayikamo, kuti apereke kusinthika kowonjezereka kwa njira za Compiler.tage, pamene Compiler amayendetsa anthu osiyanasiyana. - Dera lanu liyenera kutsekereza blinking_led logic. Kuti musankhe dera loyikapo popeza mfundo mu Chip Planner, dinani kumanja dzina la chigawo u_blinking_led pa zenera la Logic Lock Regions, kenako dinani Pezani Node ➤ Pezani mu Chip Planner.
- Pansi Malipoti Ogawa, dinani kawiri Report Design Partitions. Chip Planner imayang'ana ndikuyika ma code amitundu m'derali.
Chithunzi 7. Chip Planner Node Malo a blinking_led
Kapenanso, kuwonjezera mizere yotsatirayi ku blinking_led.qsf kumapanga zigawo izi:
set_instance_assignment -name PARTITION pr_partition -to \ u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -ku_blinking_led -pamwamba
set_instance_assignment -name PARTITION supr_partition -to u_top_counter \ -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -ku \ u_top_counter -chinthu pamwamba
set_instance_assignment -name PLACE_REGION "X166 Y199 X170 Y203" -to \ u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON -kuti u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -ku_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -to_blinking_led
set_instance_assignment -name ROUTE_REGION "X165 Y198 X171 Y204" -to \ u_blinking_led
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -ku_blinking_led
set_instance_assignment -name PLACE_REGION "X173 Y199 X177 Y203" -to \ u_top_counter
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -name ROUTE_REGION "X172 Y198 X178 Y204" -to \ u_top_counter
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -to u_top_counter
1.5.4. Gawo 4: Tanthauzirani Anthu
Mapangidwe awa amatanthauzira anthu atatu osiyana pagawo limodzi la PR, ndi munthu m'modzi wa SUPR wa dera la SUPR. Tsatirani izi kuti mufotokoze ndikuphatikiza anthu awa mu polojekiti yanu. Ngati mukugwiritsa ntchito Intel Quartus Prime Text Editor, zimitsani Add file
ku polojekiti yamakono pamene mukusunga fayilo ya files.
- Pangani blinking_led_slow.sv, blinking_led_empty.sv, ndi top_counter_fast.sv SystemVerilog files m'ndandanda yanu yogwirira ntchito. Tsimikizirani kuti blinking_led.sv ilipo kale pamndandanda wogwirira ntchito.
- Lowetsani zotsatirazi za SystemVerilog files:
Table 2. Reference Design Personas SystemVerilog
File Dzina Kufotokozera Kodi blinking_led_slow. sv Ma LED akuthwanima pang'onopang'ono timescale 1 ps / 1 ps 'default_nettype palibe
gawo blinking_led_slow // wotchi
wotchi yolowera, kuyikanso waya, waya wolowetsa [31:01 counter,
// Kuwongolera ma siginecha a ma LED otulutsa waya otsogolera_two_on,
waya wotuluka led_three_on localparam COUNTER_TAP = 27;
reg led_two_on_r; mwendo watsogolera_tatu_pa_r; assign led_two_on = led_two_on_r; assign led_three_on = led_three_on_r; always_ff @(posedge clock) start led_two_on_r <= counter[COUNTER_TAP]; led_three_on_r <= counter[COUNTER_TAP]; endmoduleblinking_led_empty. sv Ma LED amakhala ON timecale 1 ps / 1 ps 'default_nettype none module blinking_led_empty(// wotchi yolowera waya, kuyikanso waya, waya wolowera [31:01 counter, // Kuwongolera ma siginecha a LEC- mawaya otulutsa led_two_on, waya wotulutsa led_three_on anapitiriza… File Dzina Kufotokozera Kodi // LED ikugwira ntchito yotsika led_two_on = l'IDO; perekani led_three_on = 11b0; endmodule top_counter_fast.sv Chachiwiri SUPR 'nthawi yanthawi 1 ps / 1 ps munthu Thdefault_nettype palibe module top_counter_fast // Kuwongolera ma siginecha a ma LED otulutsa waya led_one_on, waya wotuluka [31:0] kuwerengera, // wotchi yolowera waya ); localparam COUNTER TAP = 23; reg [31:0] count_d; assign count = count_d; perekani led_one_on = ount_d[COUNTER_TAP]; always_ff @(posedge clock) kuyamba count_d <= count_d + 2; TSIRIZA .:module - Dinani File ➤ Sungani Monga ndikusunga .sv files m'ndandanda wamakono wa polojekiti.
1.5.5. Gawo 5: Pangani Zosintha
Kuyenda kwa mapangidwe a PR kumagwiritsa ntchito zomwe zasinthidwa pulojekiti mu pulogalamu ya Intel Quartus Prime. Mapangidwe anu oyambilira ndikuwunikiranso koyambira, komwe mumafotokozera malire amadera osakhazikika komanso zigawo zomwe zingasinthidwenso pa FPGA. Kuchokera pakusintha koyambira, mumapanga zosintha zina. Zosinthazi zili ndi machitidwe osiyanasiyana a zigawo za PR. Komabe, zosintha zonse za PR zimagwiritsa ntchito kuyika kwapamwamba komweko ndi zotsatira zamayendedwe kuchokera pakuwunikiridwa koyambira. Kuti mupange mapangidwe a PR, mumapanga kukonzanso kwa PR kwa munthu aliyense. Kuphatikiza apo, muyenera kupatsa mtundu wa Kukonzanso Kwapang'ono - Base kapena Kusintha Kwapang'ono - Persona Implementation revision mtundu pakusintha kulikonse. Gome lotsatirali likutchula dzina lokonzanso ndi mtundu wa kukonzanso kwa kukonzanso kulikonse. Kuwunikiridwa kwa impl_blinking_led_supr_new.qsf ndikukhazikitsa kwa SUPR kwamunthu.
Gulu 3. Maina Okonzanso Maina ndi Mitundu
| Dzina Lokonzanso | Mtundu Wokonzanso |
| blinking_led | Kusintha pang'ono - Base |
| blinking_led_default | Kusintha Kwapang'ono - Kukhazikitsa Kwamunthu |
| blinking_led_slow | Kusintha Kwapang'ono - Kukhazikitsa Kwamunthu |
| blinking_led_empty | Kusintha Kwapang'ono - Kukhazikitsa Kwamunthu |
| impl_blinking_led_supr_new | Kusintha Kwapang'ono - Kukhazikitsa Kwamunthu |
1.5.5.1. Kukhazikitsa Base Revision
Tsatirani izi kuti mukhazikitse blinking_led ngati revision yoyambira:
- Dinani Ntchito ➤ Zosintha.
- Pa Mtundu Wokonzanso, sankhani Kusintha Kwapang'ono - Base.
Izi zikuwonjezera zotsatirazi ku blinking_led.qsf:
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
1.5.5.2. Kupanga Zokonzanso Zothandizira
Tsatirani izi kuti mupange kukonzanso kokhazikitsa:
- Mu bokosi la Revisions dialog, dinani kawiri < >.
- Mu dzina la Revision, tchulani blinking_led_default ndikusankha blinking_led for Based on revision.
- Pamtundu wa Revision, sankhani Kusintha Kwapang'ono - Kukhazikitsa Kwamunthu.
- Letsani Seti ngati njira yosinthira pano.
- Bwerezani masitepe 2 mpaka 5 kuti mukhazikitse mtundu wa Revision pazokonzanso zina:
| Dzina Lokonzanso | Mtundu Wokonzanso | Kutengera Revision |
| blinking_led_slow | Kusintha Kwapang'ono - Kukhazikitsa Kwamunthu | blinking_led |
| blinking_led_empty | Kusintha Kwapang'ono - Kukhazikitsa Kwamunthu | blinking_led |
| impl_blinking_led_supr_new | Kusintha Kwapang'ono - Kukhazikitsa Kwamunthu | blinking_led |
Chithunzi 8. Kupanga Zokonzanso Zothandizira
Iliyonse .qsf file tsopano ili ndi ntchito iyi:
set_global_assignment -name REVISION_TYPE PR_IMPL
set_instance_assignment -name ENTITY_REBINDING place_holder -to_top_counter
set_instance_assignment -name ENTITY_REBINDING place_holder -to_blinking_led
1.5.6. Khwerero 6: Konzani Base Revision
Tsatirani izi kuti muphatikize zowunikiranso ndikutumiza madera osakhazikika ndi a SUPR kuti mugwiritse ntchito pambuyo pake pakukonzanso kwa anthu atsopano a PR:
- Khazikitsani blinking_led ngati Current Revision ngati sizinakhazikitsidwe kale.
- Pazenera la Design Partitions, dinani (…) moyandikana ndi gawo lakumanja kwambiri ndikuthandizira kutumiza kwa Post Final. File ndime. Mukhozanso kuletsa kapena kusintha dongosolo la mizati.
- Kuti mutumize chithunzithunzi chomaliza cha magawo opangira ma PR pambuyo pakuphatikiza kulikonse, tchulani izi pa Post Final Export File zosankha za mizu ndi magawo a SUPR. qdb ndi files kutumiza ku chikwatu cha polojekiti mwachisawawa.
• root_partition-blinking_led_static.qdb
• supr_partition—blinking_led_supr_partition_final.qdb
Chithunzi 9. Auto Export in Design Partitions Window
Kapenanso, magawo otsatirawa a .qsf amatumiza magawowa pokhapokha ataphatikiza:
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | - chinthu pamwamba
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity top - Kuti mupange blinking_led base revision, dinani Processing ➤ Yambani
Kuphatikiza. Kapenanso, mutha kugwiritsa ntchito lamulo ili kuti mupange kukonzanso uku:
quartus_sh -flow compile blinking_led -c blinking_led Pambuyo pakuphatikiza bwino, zotsatirazi files zikuwoneka mu bukhu la polojekiti:
• blinking_led.sof
• blinking_led.pr_partition.rbf
• blinking_led.supr_partition.rbf
• blinking_led_static.qdb
• blinking_led_supr_partition_final.qdb
1.5.7. Khwerero 7: Khazikitsani Zosintha za PR
Muyenera kukonzekera kukonzanso kwa PR musanapange PR bitstream ya pulogalamu ya chipangizo. Kukonzekera uku kumaphatikizapo kuwonjezera static region .qdb file monga gwero file pakuwunikiridwa kulikonse. Komanso, muyenera kufotokoza
bungwe lofananira la dera la PR. Tsatirani izi kuti mukhazikitse kukonzanso kwa PR:
- Kuti mukhazikitse zomwe zasinthidwa, dinani Project ➤ Revisions, sankhani blinking_led_default ngati dzina la Revision, ndiyeno dinani Set Current. Kapenanso, mutha kusankha zomwe zasinthidwa posachedwa pazida zazikulu za Intel Quartus Prime.
- Kuti mutsimikize gwero lolondola la kukonzansoku, dinani Ntchito ➤ Onjezani/Chotsani Files mu Project. Tsimikizirani kuti blinking_led.sv file zikuwoneka mu file mndandanda.

- Kutsimikizira gwero lolondola file kuti muwunikenso, dinani Pulojekiti ➤ Onjezani/Chotsani files mu Project, ndikuwonjezera gwero lotsatira files zowunikiridwa. Ngati ilipo, chotsani blinking_led.sv pamndandanda wamapulojekiti files.
Ikukwanilitsa Revision Name Gwero File blinking_led_empty blinking_led_empty.sv blinking_led_slow blinking_led_slow.sv - Khazikitsani blinking_led_default ngati Current Revision.
- Kufotokozera .qdb file monga gwero la root_partition, dinani Ntchito ➤ Zenera la Magawo Opanga. Dinani kawiri Partition Database File selo ndi kutchula blinking_led_static.qdb file.
- Mofananamo, tchulani blinking_led_supr_partition_final.qdb ngati Gawo Logawa File kwa supr_partition.
Chithunzi 10.
Kapenanso, gwiritsani ntchito .qsf ntchito zotsatirazi kuti mutchule .qdb:
set_instance_assignment -name QDB_FILE_GAWO \ blinking_led_static.qdb -to |
set_instance_assignment -name QDB_FILE_GAWO \ blinking_led_supr_partition_final.qdb -ku_top_counter - Pazenera la Design Partitions, dinani (…) moyandikana ndi gawo lakumanja kwambiri ndikuyatsa ndime yomanganso Entity.
-
Mu selo yomanganso Entity, tchulani dzina latsopano la gawo la PR lomwe mukusintha pakukonzanso komwe kulipo. Pakusintha kwa blinking_led_default kukhazikitsa, dzina labungwe ndi blinking_led. Pachifukwa ichi, mukulembanso chitsanzo cha u_blinking_led kuchokera pazokonzanso zoyambira ndi gulu latsopano la blinking_led. Pakukonzanso kwina kwa kukhazikitsa, onaninso tebulo ili:
Kubwereza Mtengo Womanganso Bungwe blinking_led_slow blinking_led_slow blinking_led_empty blinking_led_empty Chithunzi 11. Kumanganso Bungwe
Kapenanso, mutha kugwiritsa ntchito mizere yotsatirayi mu revision iliyonse ya .qsf kukhazikitsa ntchito:
##blinking_led_default.qsf
set_instance_assignment -name ENTITY_REBINDING kuphethira_kutsogozedwa \ -ku_blinking_led
##blinking_led_slow.qsf
set_instance_assignment -name ENTITY_REBINDING kuphethira_led_slow \ -ku_blinking_led
##blinking_led_empty.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -kuti u_blinking_led - Chotsani zolemba za place_holder mu selo ya Entity Re-binding for supr_partition.
- Kuti mupange mapangidwewo, dinani Kukonza ➤ Yambani Kuphatikiza. Kapenanso, gwiritsani ntchito lamulo ili kuti mupange polojekitiyi: quartus_sh -flow compile blinking_led -c blinking_led_default
- Bwerezani masitepe 4 mpaka 11 kuti mukonzekere ndikuphatikiza blinking_led_slow ndi blinking_led_empty kukhazikitsa kukonzanso.
1.5.8. Khwerero 8: Sinthani Mfundo za SUPR
Kuti musinthe magwiridwe antchito amalingaliro mkati mwa gawo la SUPR, muyenera kusintha gwero la magawo a SUPR. Malizitsani zotsatirazi kuti musinthe u_top_counter mu gawo la SUPR ndi top_counter_fast entity.
- Kuti mukhazikitse kukonzanso kwa SUPR kukhala kwamakono, dinani Project ➤ Revisions ndikukhazikitsa impl_blinking_led_supr_new monga kukonzanso kwapano, kapena sankhani
kukonzanso pa Intel Quartus Prime toolbar. - Kutsimikizira gwero lolondola file kuti muwunikenso, dinani Project ➤
Onjezani/Chotsani files mu Project, ndikutsimikizira kuti top_counter_fast.sv ndiye gwero la kukonzanso kwa impl_blinking_led_supr_new kukhazikitsa. Ngati ilipo, chotsani top_counter.sv pamndandanda wamapulojekiti files.
- Kufotokozera .qdb file ogwirizana ndi magawo a mizu, dinani Ntchito ➤ Zenera la Magawo Opanga, ndiyeno dinani kawiri Gawo Losunga Magawo. File selo kuti mufotokoze blinking_led_static.qdb.
Kapenanso, gwiritsani ntchito lamulo ili kuti mugawire izi file: set_instance_assignment -name QDB_FILE_GAWO \ blinking_led_static.qdb -to | - Mu cell Binding Entity for pr_partition, tchulani dzina loyenera lachinthu. Kwa example, tchulani blinking_led_empty entity. Pachifukwa ichi, mukulembanso chitsanzo cha u_blinking_led kuchokera pazokonzanso zoyambira ndi chinthu chatsopano cholumikizira_led_empty. Mzere wotsatirawu ulipo mu .qsf:
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING kuphethira_mopanda_chopanda \ -kuti_kuthwani_kutsogoleredwa - Mu selo yomanganso Entity ya supr_partition, tchulani top_counter_fast entity. top_counter_fast ndi dzina la static entity yomwe imalowetsa u_top_counter mukamaliza SUPR.
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to_top_counter - Kuti mupange mapangidwewo, dinani Kukonza ➤ Yambani Kuphatikiza. Kapenanso, gwiritsani ntchito lamulo ili kuti mupange kukonzanso kwa polojekitiyi: quartus_sh -flow compile blinking_led -c \ impl_blinking_led_supr_new
1.5.9. Khwerero 9: Konzani Bungwe
Tsatirani izi kuti mulumikizane ndikukonzekera gulu lachitukuko la Intel Agilex F-Series FPGA.
- Lumikizani magetsi ku Intel Agilex F-Series FPGA board board.
- Lumikizani chingwe cha USB pakati pa doko la USB la PC yanu ndi zida zamapulogalamu za USB pa bolodi lachitukuko.
- Tsegulani pulogalamu ya Intel Quartus Prime, kenako dinani Zida ➤ Programmer. Onani ku Programming a Development Board.
- Mu Programmer, dinani Hardware Setup, ndiyeno sankhani USB-Blaster.
- Dinani Auto Dziwani, ndiyeno sankhani chipangizo cha AGFB014R24B.
- Dinani Chabwino. Pulogalamu ya Intel Quartus Prime imazindikira ndikusintha Programmer ndi zida zitatu za FPGA pa bolodi.
- Sankhani chipangizo cha AGFB014R24B, dinani Sinthani File, ndi kutsegula blinking_led_default.sof file.
- Yambitsani Pulogalamu/Sinthani pa blinking_led_default.sof file.
- Dinani Start ndikudikirira kuti bar ifike 100%.
- Yang'anani ma LED pa bolodi akuthwanima.
- Kuti mukonze chigawo cha PR chokha, dinani kumanja blinking_led_default.sof file mu Programmer ndikudina Add PR Programming File. Sankhani blinking_led_slow.pr_partition.rbf file.
- Letsani Pulogalamu/Sinthani pa blinking_led_default.sof file.
- Yambitsani Pulogalamu/Sinthani kuti blinking_led_slow.pr_partition.rbf file, ndiyeno dinani Start. Pa bolodi, onani LED[0] ndi LED[1] zikupitiriza kuphethira. Mipiringidzo ikafika pa 100%, LED[2] ndi LED[3] imapenya pang'onopang'ono.
- Kuti mukonzenso chigawo cha PR, dinani kumanja kwa .rbf file mu Programmer, ndiyeno dinani Change PR Programing File.
- Sankhani .rbf filekwa anthu ena awiri kuti awone zomwe zikuchitika pa bolodi. Kutsegula blinking_led_default.pr_partition.rbf file kumapangitsa ma LED kuti aziphethira pakanthawi koyambirira, ndikutsegula blinking_led_empty.pr_partition.rbf file zimapangitsa ma LED kukhala ON. 17. Kuti musinthe malingaliro a SUPR, bwerezani gawo 7 pamwambapa kuti musankhe impl_blinking_led_supr_new.sof. Pambuyo kusintha izi file, led [0:1] tsopano ikunyezimira mwachangu kuposa kale. Zina PR .rbf files imagwirizananso ndi .sof yatsopano.
Zindikirani: Assembler amapanga .rbf file kwa dera la SUPR. Komabe, simuyenera kugwiritsa ntchito izi file kukonzanso FPGA panthawi yothamanga chifukwa kugawa kwa SUPR sikuyambitsa mlatho wozizira, woyang'anira dera la PR, ndi malingaliro ena mu dongosolo lonse. Mukapanga zosintha pamalingaliro agawo la SUPR, muyenera kukonzanso .sof yonse file kuchokera ku SUPR kukhazikitsa revision compilation.
Chithunzi 12. Kukonzekera Bungwe lachitukuko
1.5.9.1. Kuthetsa Zolakwika za PR Programming
Kuwonetsetsa kukhazikitsidwa koyenera kwa Intel Quartus Prime Programmer ndi zida zolumikizidwa zimathandiza kupewa zolakwika zilizonse panthawi ya PR.
Ngati mukukumana ndi zolakwika zilizonse zamapulogalamu a PR, yang'anani "Kuthetsa Zolakwitsa za PR" mu Intel Quartus Prime Pro Edition User Guide: Kukonzanso Kwapang'ono kwa maupangiri apang'onopang'ono.
Zambiri Zogwirizana
Kuthetsa Zolakwika za PR Programming
1.5.10. Kusintha Gawo la SUPR
Mutha kusintha magawo omwe alipo a SUPR. Pambuyo pokonza gawo la SUPR, muyenera kulilemba, kupanga .sof file, ndi kukonza bolodi, popanda kulemba anthu ena. Za example, tsatirani izi kuti musinthe gawo la top_counter_fast.sv kuti muwerenge mwachangu:
- Khazikitsani impl_blinking_led_supr_new ngati kukonzanso kwapano.
- Mu top_counter_fast.sv file, sinthani mawu a count_d + 2 ndi count_d + 4.
- Thamangani malamulo otsatirawa kuti mukonzenso chipika cha SUPR ndikupanga .sof yatsopano file: quartus_sh -kutuluka kumapanga blinking_led \ -c impl_blinking_led_supr_new
Zotsatira za .sof tsopano zili ndi chigawo chatsopano cha SUPR, ndipo zimagwiritsa ntchito blinking_led kwa osasintha (mphamvu-on) persona.
1.6. Mbiri Yokonzanso Zolemba za AN 987: Kusintha Kwapang'ono Kukonzanso Mbiri Yakukonzanso
| Document Version | Intel Quartus Prime Version | Zosintha |
| 2022.10.24 | 22. | Kutulutsidwa koyambirira kwa chikalatacho. |
Zasinthidwa kwa Intel® Quartus®Prime Design Suite: 22.3
Mayankho a Mafunso Ofunsidwa Kawirikawiri:
Tumizani Ndemanga
Q Kodi static update partial reconfiguration ndi chiyani
Kusintha Kwapang'onopang'ono Kukonzanso Kokhazikika patsamba 3
Q Ndikufuna chiyani paphunziroli?
Zofunikira pa Maphunziro patsamba 3
Q ndingapeze kuti zofotokozera?
Dongosolo Lachidziwitso Chotsitsa Files patsamba 5
Q Ndimapanga bwanji kapangidwe ka SUPR?
A Reference Design Walkthrough patsamba 6
Q Kodi PR persona ndi chiyani?
Tanthauzirani Anthu patsamba 10
Q Kodi ndingasinthe bwanji malingaliro a SUPR? Kusintha kwa SUPR Logic patsamba 16
Kusintha kwa SUPR Logic patsamba 16
Q Ndimapanga bwanji bolodi?
Pulogalamu ya Board patsamba 18
Q Ndi zinthu ziti zomwe zimadziwika kuti PR ndi zolepheretsa?
A Intel FPGA Support Forums: PR
Baibulo Lomasulira
Tumizani Ndemanga
ID: 749443
AN-987
Mtundu: 2022.10.24
Zolemba / Zothandizira
![]() |
Intel Agilex F-Series FPGA Development Board [pdf] Buku Logwiritsa Ntchito Agilex F-Series, Agilex F-Series FPGA Development Board, FPGA Development Board, Development Board, Board |
