Intel логоAN 987: Статик шинэчлэлт хэсэгчилсэн
Дахин тохируулах заавар

Intel® ™ Agilex F-Series FPGA хөгжүүлэлтийн самбарт зориулсан статик шинэчлэлтийн хэсэгчилсэн тохиргооны заавар

Энэхүү хэрэглээний тэмдэглэл нь Intel ® F-Series FPGA Development Board дээрх статик шинэчлэлтийн хэсэгчилсэн тохиргоог (SUPR) харуулж байна. Хэсэгчилсэн дахин тохируулга (PR) нь Intel FPGA-ийн зарим хэсгийг динамикаар дахин тохируулах боломжийг олгодог бол үлдсэн FPGA нь үргэлжлүүлэн ажиллаж байна. PR нь тухайн бүс нутагт үйл ажиллагаа явуулахад нөлөөлөхгүйгээр таны загварт тодорхой бүс нутагт олон хүнийг хэрэгжүүлдэг. Энэхүү аргачлал нь дараах давуу талыг өгдөгtagОлон функцууд нь ижил FPGA нөөцийг хуваах системд байдаг:

  • Ажиллах цагийг дахин тохируулахыг зөвшөөрдөг
  • Дизайныг өргөтгөх чадварыг нэмэгдүүлдэг
  • Системийн зогсолтыг багасгадаг
  • Дизайн дахь динамик цагийг үржүүлэх функцийг дэмждэг
  • Самбарын зайг үр ашигтай ашигласнаар зардал, эрчим хүчний зарцуулалтыг бууруулдаг

Статик шинэчлэлтийн хэсэгчилсэн тохиргоо гэж юу вэ?

Уламжлалт PR-д статик бүсийг өөрчлөхөд хувь хүн бүрийг дахин эмхэтгэх шаардлагатай болдог. Гэсэн хэдий ч, SUPR-ийн тусламжтайгаар та хүмүүсийг дахин эмхэтгэх шаардлагагүйгээр өөрчлөхийг зөвшөөрдөг тусгай бүсийг тодорхойлж болно. Энэ техник нь эрсдэлийг бууруулахын тулд өөрчлөхийг хүсч болох дизайны зарим хэсэгт хэрэг болно, гэхдээ энэ нь ажиллах цагийн тохиргоог хэзээ ч шаарддаггүй.

1.1. Хичээлийн шаардлага
Энэхүү заавар нь дараахь зүйлийг шаарддаг.

  • Intel Quartus® Prime Pro Edition FPGA хэрэгжилтийн урсгал болон төслийн талаархи үндсэн мэдлэг files.
  •  Intel Agilex төхөөрөмжийн дэмжлэгтэйгээр Intel Quartus Prime Pro Edition 22.3 хувилбарыг суулгана.
  • FPGA хэрэгжүүлэхийн тулд JTAG вандан сандал дээрх Intel Agilex F-Series FPGA хөгжүүлэлтийн самбартай холболт.
  • Лавлах дизайныг татаж авах Fileс. Холбогдох мэдээлэл
  • Хэсэгчилсэн дахин тохируулах хэрэглэгчийн гарын авлага
  • Хэсэгчилсэн дахин тохируулах заавар
  • Хэсэгчилсэн дахин тохируулах онлайн сургалт

Intel корпораци. Бүх эрх хуулиар хамгаалагдсан. Intel, Intel лого болон бусад Intel тэмдэг нь Intel корпораци эсвэл түүний охин компаниудын худалдааны тэмдэг юм. Intel нь өөрийн FPGA болон хагас дамжуулагч бүтээгдэхүүнүүдээ Intel-ийн стандарт баталгааны дагуу одоогийн техникийн үзүүлэлтүүдэд нийцүүлэн ажиллуулах баталгаа өгдөг ч аливаа бүтээгдэхүүн, үйлчилгээнд ямар ч үед мэдэгдэлгүйгээр өөрчлөлт оруулах эрхтэй. Intel нь бичгээр тохиролцсоноос бусад тохиолдолд энд дурдсан аливаа мэдээлэл, бүтээгдэхүүн, үйлчилгээг ашиглах, ашиглахаас үүдэн гарах хариуцлага, хариуцлага хүлээхгүй. Intel-ийн хэрэглэгчид нийтлэгдсэн мэдээлэлд найдах, бүтээгдэхүүн, үйлчилгээний захиалга өгөхөөс өмнө төхөөрөмжийн техникийн үзүүлэлтүүдийн хамгийн сүүлийн хувилбарыг авахыг зөвлөж байна. *Бусад нэр, брэндийг бусдын өмч гэж үзэж болно.
ISO 9001:2015 Бүртгэгдсэн
1.2. Лавлах дизайн дууссанview
Энэхүү лавлах загвар нь нэг 32 бит тоолуураас бүрдэнэ. Самбарын түвшинд дизайн нь цагийг 50 МГц-ийн эх үүсвэртэй холбож, дараа нь гаралтыг самбар дээрх дөрвөн LED-д холбодог. Тоолуурын битүүдийн гаралтыг тодорхой дарааллаар сонгох нь LED-ийг тодорхой давтамжтайгаар анивчихад хүргэдэг. Top_counter модуль нь SUPR бүс юм.
Зураг 1. Хавтгай жишиг загвар

Intel лого1.3. Статик шинэчлэлтийн бүс дууссанview
Дараах зурагт SUPR бүсийг багтаасан PR дизайны блок диаграммыг харуулав. А блок нь дээд статик бүс юм. Б блок нь SUPR бүс юм. Блок С нь PR хуваалт юм.
Зураг 2. SUPR бүстэй PR дизайн

intel Agilex F-Series FPGA Хөгжлийн Зөвлөл - Бүс нутаг

  • Шилдэг статик бүс нь өөрчлөгддөггүй дизайны логикийг агуулдаг. Энэ бүсийг өөрчлөхийн тулд холбогдох бүх хүмүүсийг дахин эмхэтгэх шаардлагатай. Статик муж нь ямар ч хүний ​​хувьд өөрчлөгддөггүй дизайны хэсгийг агуулдаг. Энэ бүсэд захын болон үндсэн төхөөрөмжийн нөөцийг багтааж болно. Та статик бүс дэх SUPR болон PR хуваалтуудын хоорондох бүх харилцааг бүртгэх ёстой. Энэ шаардлага нь статик бүстэй холбоотой аливаа хүний ​​хувьд цаг хугацааны хаалтыг баталгаажуулахад тусалдаг.
  • B SUPR бүс-зөвхөн үндсэн логикийг агуулсан бөгөөд эрсдэлийг бууруулах зорилгоор өөрчлөгдөж болох боловч ажиллах цагийн тохиргоог хэзээ ч шаарддаггүй. SUPR бүс нь PR хуваалттай ижил шаардлага, хязгаарлалттай байдаг. SUPR хуваалт нь зөвхөн үндсэн нөөцийг агуулж болно. Тиймээс SUPR хуваалт нь дизайны захын хэсэг болон цагийг агуулсан дээд түвшний эх хуваалтын хүүхэд хуваалт байх ёстой. SUPR бүсийг өөрчлөх нь SRAM объектыг үүсгэдэг File (.sof) нь одоо байгаа бүх эмхэтгэсэн Raw Binary-тай нийцдэг File (.rbf) filePR хуваалт C-д зориулсан s.
  • C PR хуваалт нь дурын логикийг агуулж байгаа бөгөөд та ажиллах үед тохирох ямар ч дизайны логикоор дахин програмчлах боломжтой бөгөөд эмхэтгэлийн явцад цаг хугацааг хаадаг.

1.4. Лавлах дизайныг татаж авах Files
Хэсэгчилсэн дахин тохируулах заавар дараах байршилд байна. https://github.com/intel/fpga-partial-reconfig
Сургалтыг татаж авахын тулд:

  1. Clone эсвэл татаж авах дээр дарна уу.
  2. ZIP татаж авах дээр дарна уу. fpga-partial-reconfig-master.zip файлыг задлаарай file.
  3. Лавлагааны загварт хандахын тулд зааварчилгаа/agilex_pcie_devkit_blinking_led_supr дэд хавтас руу очно уу.
    Хавтгай хавтас нь дараахь зүйлсээс бүрдэнэ files:
    Хүснэгт 1. Лавлах загвар Files
File Нэр Тодорхойлолт
дээд. sv Дээд түвшний file дизайны хавтгай хэрэгжилтийг агуулсан . Энэ модуль нь blinking_led дэд хуваалт болон top_counter модулийг үүсгэнэ.
t op_counter . sv LED [32]-ийг шууд удирддаг дээд түвшний 1 бит тоолуур. Тоолуурын бүртгэгдсэн гаралт нь LED [0]-г удирдаж, мөн LED [2] болон LED [3]-ыг blinking_led модулиар ажиллуулдаг.
анивчих_led. sdc Төслийн цаг хугацааны хязгаарлалтыг тодорхойлдог.
анивчих_led. sv Энэ зааварт та энэ модулийг эцэг эхийн PR хуваалт болгон хувиргадаг. Модуль нь LED [2] ба LED [3]-ыг удирддаг top_counter модулийн бүртгэгдсэн гаралтыг хүлээн авдаг.
blinking_led.qpf Intel Quartus Prime төсөл file төслийн бүх засварын жагсаалтыг агуулсан.
анивчих_led . qs f Intel Quartus Prime тохиргоо file төслийн даалгавар, тохиргоог агуулсан.

Жич: supr хавтас нь бүрэн багцыг агуулдаг fileТа энэ програмыг ашиглан үүсгэсэн тэмдэглэл. Эдгээрээс иш татна уу files.
1.5. Лавлагаа дизайны танилцуулга
Дараах алхмууд нь хавтгай загвартай SUPR-ийн хэрэгжилтийг тайлбарлана.

  • Алхам 1: Эхлэх
  • Алхам 2: Дизайн хуваалт үүсгэх
  • Алхам 3: Байршуулах, чиглүүлэх бүсүүдийг хуваарилах
  • Алхам 4: Хувь хүнийг тодорхойлох
  • Алхам 5: Засвар үүсгэх
  • Алхам 6: Үндсэн засварыг эмхэтгэх
  • Алхам 7: PR хэрэгжилтийн засваруудыг тохируулна уу
  • Алхам 8: SUPR логикийг өөрчил
  • Алхам 9: Удирдах зөвлөлийг програмчлах

Зураг 3. SUPR эмхэтгэлийн урсгал

intel Agilex F-Series FPGA Хөгжлийн Зөвлөл - Урсгал

1.5.1. Алхам 1: Эхлэх
Лавлах загварыг хуулбарлахын тулд files-ийг ажлын орчиндоо суулгаж, blinking_led flat design-ийг эмхэтгэ:

  1. Эхлэхээсээ өмнө лавлагаа дизайныг татаж аваарай Files 5-р хуудсанд.
  2. Ажлын орчинд agilex_pcie_devkit_blinking_led_supr лавлах үүсгэнэ үү.
  3. Татаж авсан хичээлүүдийг/agilex_pcie_devkit_blinking_led/flat дэд хавтасыг agilex_pcie_devkit_blinking_led_supr лавлах руу хуулна уу.
  4. Intel Quartus Prime Pro Edition програм хангамж дээр дарна уу File ➤ Төслийг нээж /flat/blinking_led.qpf-г нээнэ үү.
  5. Үндсэн загварыг эмхэтгэхийн тулд Processing ➤ Start Compilation дээр дарна уу. Цагийн анализаторын тайлангууд эмхэтгэл дуусахад автоматаар нээгдэнэ. Та одоохондоо Цагийн анализаторыг хааж болно.

1.5.2. Алхам 2: Дизайн хуваалт үүсгэх
Хэсэгчилсэн дахин тохируулахыг хүсч буй бүс бүрт дизайны хуваалтуудыг үүсгэ. Та төсөлдөө хэдэн ч бие даасан хуваалт эсвэл PR бүс үүсгэж болно. u_blinking_led инстансыг PR хуваалт болгон, u_top_counter инстанцыг SUPR бүс болгон үүсгэхийн тулд эдгээр алхмуудыг дагана уу:

  1. Project Navigator дээрх u_blinking_led жишээн дээр хулганы баруун товчийг дараад Design Partition дээр дарна уу
    ➤ Дахин тохируулах боломжтой. Хуваалт болгон тохируулсан тохиолдол бүрийн хажууд дизайны хуваалтын дүрс гарч ирнэ.
    Зураг 4. Дизайн хуваалтуудыг бий болгохintel Agilex F-Series FPGA Хөгжлийн Зөвлөл - Хуваалтууд
  2. u_top_counter жишээнд хуваалт үүсгэхийн тулд 1-р алхамыг давтана уу.
  3. Assignments ➤ Design Partitions цонхыг дарна уу. Цонх нь төслийн бүх дизайны хуваалтыг харуулдаг.
    Зураг 5. Дизайн хуваалтын цонх
    intel Agilex F-Series FPGA Хөгжлийн Зөвлөл - Цонх
  4. Blinking_led Partition Name гэсэн нүдэн дээр давхар товшоод нэрийг нь pr_partition болгон өөрчил. Үүний нэгэн адил, top_counter хуваалтыг supr_partition болгон өөрчил.
    Эсвэл blinking_led.qsf-д дараах мөрүүдийг нэмснээр эдгээр хуваалтууд үүсдэг:
    set_instance_assignment -нэр PARTITION pr_partition \ -to u_blinking_led -entity top
    set_instance_assignment -нэр PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
    set_instance_assignment -нэр PARTITION supr_partition \ -to u_top_counter -entity top
    set_instance_assignment -нэр PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -entity top

1.5.3. Алхам 3: Байршуулах, чиглүүлэх бүсүүдийг хуваарилах
Таны үүсгэсэн үндсэн засвар бүрийн хувьд Хөрвүүлэгч нь PR хуваалтын бүсийн хуваарилалтыг ашиглан нөөцлөгдсөн бүсэд харгалзах хувь хүний ​​цөмийг байрлуулдаг. Үндсэн засвартаа зориулж төхөөрөмжийн шалны төлөвлөгөөнд PR бүсийг олж, оноохын тулд дараах алхмуудыг дагана уу:

  1. Project Navigator Hierarchy таб дээрээс u_blinking_led жишээн дээр хулганы баруун товчийг дараад Логик түгжээний бүс ➤ Шинэ логик түгжээний бүс үүсгэх гэснийг товшино уу. Бүс нь Логик түгжих бүсүүдийн цонхонд харагдана.
  2. Бүсийн өргөнийг 5, өндөрийг 5 гэж зааж өгнө үү.
  3. Гарал үүсэл баганад u_blinking_led-ийн байршлын бүсийн координатыг зааж өгнө үү. Гарал үүсэл нь бүс нутгийн зүүн доод буланд таарч байна. Гарал үүслийг X166_Y199 гэж зааж өгнө үү. Хөрвүүлэгч нь (X170 Y203) баруун дээд талын координат гэж тооцдог.
  4. Бүс нутгийн нөөц ба Зөвхөн үндсэн сонголтыг идэвхжүүлнэ үү.
  5. Routing Region гэсэн сонголтыг давхар товшино уу. Logic Lock Routing Region Settings харилцах цонх гарч ирнэ.
  6. Чиглүүлэлтийн төрлөөс Fixed with expansion-г сонгоно уу. Энэ сонголт автоматаар нэг өргөтгөлийн уртыг оноодог.
  7. Дараах нөөцийг u_top_counter хуваалтад хуваарилахын тулд өмнөх алхмуудыг давтана уу:
    • Өндөр-5
    • Өргөн—5
    • Гарал үүсэл—X173_Y199
    • Routing Region— Өргөтгөх урт нь нэг урттай өргөтгөлөөр зассан.
    • Reserved—Асаалттай
    • Зөвхөн үндсэн-Асаалттай
    Зураг 6. Логик түгжих бүсүүдийн цонх
    intel Agilex F-Series FPGA Хөгжлийн Зөвлөл - Бүс нутгийн цонх
    Жич: Хөрвүүлэгчийн чиглүүлэлтийн нэмэлт уян хатан байдлыг хангахын тулд чиглүүлэлтийн бүс нь байршуулах бүсээс том байх ёстой.tage, Хөрвүүлэгч өөр өөр хүмүүсийг чиглүүлэх үед.
  8. Таны байршуулах бүс нь blinking_led логикийг хаах ёстой. Chip Planner дахь зангилааг олох замаар байршуулах бүсийг сонгохын тулд Logic Lock Regions цонхны u_blinking_led бүсийн нэр дээр хулганы баруун товчийг дараад Locate Node ➤ Locate in Chip Planner дээр дарна уу.
  9.  Хуваалтын тайлангийн хэсэгт Тайлангийн дизайны хуваалтууд дээр давхар товшино уу. Чип төлөвлөгч нь тухайн бүс нутгийг тодруулж, өнгөөр ​​​​кодлодог.

Зураг 7. Blinking_led-д зориулсан чип төлөвлөгч зангилааны байршил
intel Agilex F-Series FPGA Хөгжлийн Зөвлөл -blinking_ledЭсвэл blinking_led.qsf-д дараах мөрүүдийг нэмснээр эдгээр бүсүүдийг үүсгэнэ:
set_instance_assignment -нэр PARTITION pr_partition -to \ u_blinking_led -entity top
set_instance_assignment -нэр PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -нэр PARTITION supr_partition -to u_top_counter \ -entity top
set_instance_assignment -нэр PARTIAL_RECONFIGURATION_PARTITION ON -to \ u_top_counter -entity top
set_instance_assignment -Нэр PLACE_REGION “X166 Y199 X170 Y203” - руу \ u_анивчсан_led
set_instance_assignment -Нэр RESERVE_PLACE_REGION АСААЛТАЙ -U_blinking_led
set_instance_assignment -Нэр CORE_ONLY_PLACE_REGION ON -U_blinking_led
set_instance_assignment -нэр REGION_NAME pr_partition -U_blinking_led
set_instance_assignment -ROUTE_REGION-н нэр "X165 Y198 X171 Y204" - руу \ u_blinking_led
set_instance_assignment -Нэр RESERVE_ROUTE_REGION OFF -U_blinking_led
set_instance_assignment -Нэр PLACE_REGION “X173 Y199 X177 Y203” -\ u_top_counter
set_instance_assignment -Нэр RESERVE_PLACE_REGION АСААЛТТАЙ -u_top_counter руу
set_instance_assignment -нэр CORE_ONLY_PLACE_REGION ON -U_top_counter руу
set_instance_assignment -нэр REGION_NAME supr_partition -u_top_counter руу
set_instance_assignment -ROUTE_REGION нэр "X172 Y198 X178 Y204" -\u_top_counter руу
set_instance_assignment -нэр RESERVE_ROUTE_REGION OFF -u_top_counter руу
1.5.4. Алхам 4: Хувь хүнийг тодорхойлох

Энэхүү лавлагааны загвар нь нэг PR хуваалтад гурван тусдаа хүн, SUPR бүсийн хувьд нэг SUPR persona-г тодорхойлдог. Төсөлдөө эдгээр хүмүүсийг тодорхойлж, оруулахын тулд эдгээр алхмуудыг дагана уу. Хэрэв Intel Quartus Prime Text Editor ашиглаж байгаа бол Нэмэхийг идэвхгүй болго file
хадгалах үед одоогийн төсөл рүү files.

  1. Шинэ blinking_led_slow.sv, blinking_led_empty.sv болон top_counter_fast.sv SystemVerilog үүсгэх files таны ажлын лавлах дотор байна. blinking_led.sv аль хэдийн ажлын лавлахад байгаа эсэхийг баталгаажуулна уу.
  2.  SystemVerilog-д дараах агуулгыг оруулна уу files:
    Хүснэгт 2. Лавлагаа дизайн Personas SystemVerilog
    File Нэр Тодорхойлолт Код
    анивчсан_удаан. sv LED нь удаан анивчдаг цагийн хуваарь 1 ps / 1 ps 'default_nettype аль нь ч биш
    модуль blinking_led_slow // цаг
    оролтын утас цаг, оролтын утсыг дахин тохируулах, оролтын утас [31:01 тоолуур,
    // LED-ийн гаралтын утасны хяналтын дохио led_two_on,
    гаралтын утас led_three_on localparam COUNTER_TAP = 27;
    reg led_tho_on_r; хөл led_three_on_r; led_two_on = led_thwo_on_r оноох; led_three_on = led_three_on_r оноох; always_ff @(posedge цаг) эхлэх led_two_on_r <= counter[COUNTER_TAP]; led_three_on_r <= counter[COUNTER_TAP]; төгсгөлийн модуль
    анивчсан_хоосон. sv LED гэрэл асаалттай хэвээр байна timescale 1 ps / 1 ps 'default_nettype none module blinking_led_empty( // цагны оролтын утасны цаг, оролтын утсыг дахин тохируулах, оролтын утас [31:01 тоолуур, // LEC-ийн гаралтын утас led_two_on, гаралтын утас led_XNUMX_on
    үргэлжилсэн…
    File Нэр Тодорхойлолт Код
    // LED идэвхтэй байна бага оноолт led_two_on = l'IDO; led_three_on = 11b0 оноох; төгсгөлийн модуль
    top_counter_fast.sv Хоёр дахь SUPR Хугацаа 1 ps / 1 ps
    хүн Thdefault_nettype none модуль top_counter_fast
    // LED-ийн гаралтын утас led_one_on, гаралтын утас [31:0] тоо, // цагны оролтын утаснуудын хяналтын дохио
    ); localparam COUNTER TAP = 23; reg [31:0] тоо_d; тоолох = тоолох_d; led_one_on-ыг оноох = тоо_д[COUNTER_TAP]; always_ff @(posedge цаг) эхлэх тоо_d <= тоо_d + 2; Төгсгөл
    .:модуль
  3.  дарна уу File ➤ Save As болон .sv-г хадгал files одоогийн төслийн лавлах дотор байна.

1.5.5. Алхам 5: Засвар үүсгэх
PR дизайны урсгал нь Intel Quartus Prime програм хангамжийн төслийн засварын функцийг ашигладаг. Таны анхны загвар бол үндсэн засвар бөгөөд та FPGA дээрх статик бүсийн хил хязгаар болон дахин тохируулах боломжтой мужуудыг тодорхойлдог. Үндсэн хувилбараас та нэмэлт засваруудыг үүсгэдэг. Эдгээр засварууд нь PR бүсүүдэд зориулсан өөр өөр хэрэгжилтийг агуулдаг. Гэсэн хэдий ч, PR хэрэгжилтийн бүх засварууд нь үндсэн засварын ижил дээд түвшний байршуулалт, чиглүүлэлтийн үр дүнг ашигладаг. PR дизайныг эмхэтгэхийн тулд та хүн бүрийн PR хэрэгжилтийн засварыг бий болгодог. Нэмж дурдахад, та засвар тус бүрт Хэсэгчилсэн дахин тохируулга – Үндсэн эсвэл Хэсэгчилсэн дахин тохируулга – Хувь хүний ​​хэрэгжилтийн засварын төрлийг оноох ёстой. Дараах хүснэгтэд засвар бүрийн нэр болон засварын төрлийг жагсаав. impl_blinking_led_supr_new.qsf хувилбар нь SUPR persona хэрэгжилт юм.
Хүснэгт 3. Хяналтын нэр ба төрөл

Хяналтын нэр Хяналтын төрөл
анивчих_led Хэсэгчилсэн дахин тохируулга - Суурь
анивчдаг_led_өгөгдмөл Хэсэгчилсэн дахин тохируулга – Хувь хүний ​​хэрэгжилт
анивчсан_удаан Хэсэгчилсэн дахин тохируулга – Хувь хүний ​​хэрэгжилт
анивчсан_хоосон Хэсэгчилсэн дахин тохируулга – Хувь хүний ​​хэрэгжилт
impl_blinking_led_supr_new Хэсэгчилсэн дахин тохируулга – Хувь хүний ​​хэрэгжилт

1.5.5.1. Үндсэн засварыг тохируулах
blinking_led-г үндсэн хувилбар болгон тохируулахын тулд дараах алхмуудыг дагана уу:

  1. Project ➤ Revisions дээр дарна уу.
  2. Засварын төрлийг хэсэгчлэн дахин тохируулах - Үндсэн хэсгийг сонгоно уу.

intel Agilex F-Series FPGA Хөгжлийн Зөвлөл - ШинэчлэлтүүдЭнэ алхам нь blinking_led.qsf-д дараахыг нэмнэ:
##blinking_led.qsf set_global_assignment -Нэр REVISION_TYPE PR_BASE
1.5.5.2. Хэрэгжүүлэх засваруудыг бий болгох
Хэрэгжилтийн засваруудыг бий болгохын тулд дараах алхмуудыг дагана уу:

  1. Revisions харилцах цонхны < дээр давхар товшино уу >.
  2. Revision name хэсэгт blinking_led_default-г зааж, Blinking_led-г сонгоод Revision дээр үндэслэсэн.
  3. Хяналтын төрлийг хэсэгчлэн дахин тохируулах – Хувь хүний ​​хэрэгжилтийг сонгоно уу.
  4. Одоогийн хувилбараар тохируулах сонголтыг идэвхгүй болго.
  5. Бусад хэрэгжилтийн засварын засварын төрлийг тохируулахын тулд 2-оос 5 хүртэлх алхмуудыг давтана уу:
Хяналтын нэр Хяналтын төрөл Revisio дээр үндэслэсэнn
анивчсан_удаан Хэсэгчилсэн дахин тохируулга – Хувь хүний ​​хэрэгжилт анивчих_led
анивчсан_хоосон Хэсэгчилсэн дахин тохируулга – Хувь хүний ​​хэрэгжилт анивчих_led
impl_blinking_led_supr_new Хэсэгчилсэн дахин тохируулга – Хувь хүний ​​хэрэгжилт анивчих_led

Зураг 8. Хэрэгжилтийн засварыг бий болгох

intel Agilex F-Series FPGA Development Board - Хэрэгжилтийн засварууд.qsf бүр file одоо дараах даалгаврыг агуулж байна:
set_global_assignment -Нэр REVISION_TYPE PR_IMPL
set_instance_assignment -Нэр ENTITY_REBINDING place_holder - to u_top_counter
set_instance_assignment -Нэр ENTITY_REBINDING place_holder - to u_blinking_led
1.5.6. Алхам 6: Үндсэн засварыг эмхэтгэх
Суурь засварыг эмхэтгэхийн тулд эдгээр алхмуудыг дагана уу, дараа нь шинэ PR хүмүүсийн хэрэгжилтийн засварт ашиглахын тулд статик болон SUPR бүсүүдийг экспортлоорой.

  1. Хэрэв хараахан тохируулаагүй бол blinking_led-г Одоогийн хувилбараар тохируулна уу.
  2. Дизайн хуваалтуудын цонхны баруун талд байгаа баганын зэргэлдээх (...) дээр дарж, эцсийн экспортыг идэвхжүүлнэ үү. File багана. Та мөн баганын дарааллыг идэвхгүй болгох эсвэл өөрчлөх боломжтой.
  3. Эмхэтгэл бүрийн дараа PR хэрэгжилтийн дизайны хуваалтын эцсийн агшин зургийг автоматаар экспортлохын тулд эцсийн экспортын дараах зүйлийг зааж өгнө үү. File root болон SUPR хуваалтын сонголтууд. .qdb fileын анхдагчаар төслийн лавлах руу экспортлох.
    • root_partition—blinking_led_static.qdb
    • supr_partition—анивчдаг_led_supr_partition_final.qdb
    Зураг 9. Дизайн хуваалтуудын цонхны автомат экспортintel Agilex F-Series FPGA Development Board - Хуваалтын цонхЭсвэл, дараах .qsf даалгаврууд нь эмхэтгэл бүрийн дараа хуваалтыг автоматаар экспортлодог:
    set_instance_assignment -нэр EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | - байгууллагын дээд
    set_instance_assignment -нэр EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity top
  4. blinking_led үндсэн хувилбарыг эмхэтгэхийн тулд Боловсруулж байна ➤ Start дээр дарна уу
    Эмхэтгэл. Мөн та энэ засварыг эмхэтгэхийн тулд дараах тушаалыг ашиглаж болно.
    quartus_sh –flow compile blinking_led -c blinking_led Амжилттай эмхэтгэсний дараа дараах files төслийн лавлах дээр гарч ирнэ:
    • blinking_led.sof
    • blinking_led.pr_partition.rbf
    • blinking_led.supr_partition.rbf
    • blinking_led_static.qdb
    • blinking_led_supr_partition_final.qdb

1.5.7. Алхам 7: PR хэрэгжүүлэх засваруудыг тохируулна уу
Та төхөөрөмжийн програмчлалын PR бит урсгалыг үүсгэхээсээ өмнө PR хэрэгжилтийн засваруудыг бэлтгэх ёстой. Энэ тохиргоонд .qdb статик мужийг нэмэх орно file эх сурвалж болгон file хэрэгжилтийн засвар бүрийн хувьд. Үүнээс гадна та зааж өгөх ёстой
PR бүсийн холбогдох байгууллага. PR хэрэгжилтийн засваруудыг тохируулахын тулд дараах алхмуудыг дагана уу:

  1.  Одоогийн засварыг тохируулахын тулд Project ➤ Revisions дээр дарж, blinking_led_default-г Revision нэрээр сонгоод, дараа нь Set Current дээр дарна уу. Эсвэл та үндсэн Intel Quartus Prime хэрэгслийн самбараас одоогийн хувилбарыг сонгож болно.
  2. Энэхүү хэрэгжилтийн засварын эх сурвалжийг шалгахын тулд Төсөл ➤ Нэмэх/Устгах дээр дарна уу FileТөсөлд байгаа. blinking_led.sv гэдгийг баталгаажуулна уу file -д харагдана file жагсаалт.intel Agilex F-Series FPGA Development Board - Хуваалтын цонх 1
  3. Зөв эх сурвалжийг баталгаажуулахын тулд file хэрэгжилтийн засварын хувьд Төсөл ➤ Нэмэх/Устгах дээр дарна уу files-г Project-д оруулаад дараах эх сурвалжийг нэмнэ үү files хэрэгжилтийн засваруудад зориулсан. Хэрэв байгаа бол blinking_led.sv-г төслийн жагсаалтаас хас files.
    Iхувилбарын засварын нэр Эх сурвалж File
    анивчсан_хоосон blinking_led_empty.sv
    анивчсан_удаан blinking_led_slow.sv
  4. blinking_led_default-г Одоогийн хувилбараар тохируулна уу.
  5. .qdb-г тодорхойлохын тулд file root_partition-ийн эх сурвалж болохын тулд Assignments ➤ Design Partitions Window дээр дарна уу. Хуваалтын мэдээллийн сан дээр давхар товшино уу File нүд болон blinking_led_static.qdb-г зааж өгнө үү file.
  6. Үүний нэгэн адил blinking_led_supr_partition_final.qdb-г хуваалтын мэдээллийн сан болгон зааж өгнө үү. File supr_partition-ийн хувьд.

    Зураг 10.intel Agilex F-Series FPGA Хөгжлийн Зөвлөл - зааж өгнө үүЭсвэл .qdb-г тодорхойлохын тулд дараах .qsf даалгавруудыг ашиглана уу:
    set_instance_assignment -нэр QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
    set_instance_assignment -нэр QDB_FILE_PARTITION \ анивчих_led_supr_partition_final.qdb - top_counter руу

  7. Дизайн хуваалтуудын цонхны баруун талд байгаа баганын зэргэлдээх (...) дээр товшоод Байгууллагын дахин холбох баганыг идэвхжүүлнэ үү.
  8.  Entity Re-binding нүдэнд одоогийн хэрэгжилтийн засварт өөрчлөх гэж буй PR хуваалтын шинэ байгууллагын нэрийг зааж өгнө үү. blinking_led_default хэрэгжүүлэлтийн засварын хувьд байгууллагын нэр нь blinking_led байна. Энэ тохиолдолд та үндсэн засварын эмхэтгэлээс u_blinking_led instance-ийг blinking_led шинэ нэгжээр дарж бичиж байна. Бусад хэрэгжилтийн засваруудыг дараах хүснэгтээс харна уу.

    Хяналт Аж ахуйн нэгжийг дахин холбох үнэ цэнэ
    анивчсан_удаан анивчсан_удаан
    анивчсан_хоосон анивчсан_хоосон

    Зураг 11. Аж ахуйн нэгжийг дахин холбохintel Agilex F-Series FPGA Development Board - RebindingӨөрөөр та даалгаврыг тохируулахын тулд хувилбар бүрийн .qsf-д дараах мөрүүдийг ашиглаж болно:
    ##анивчдаг_led_default.qsf
    set_instance_assignment -нэр ENTITY_REBINDING анивчдаг_led \ -to u_blinking_led
    ##анивчсан_удаан_led.qsf
    set_instance_assignment -нэр ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
    ##анивчсан_led_empty.qsf
    set_instance_assignment -нэр ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led

  9. Supr_partition-д зориулж Байгууллага дахин холбох нүднээс place_holder текстийг устгана уу.
  10. Дизайныг эмхэтгэхийн тулд Processing ➤ Start Compilation дээр дарна уу. Эсвэл энэ төслийг эмхэтгэхийн тулд дараах тушаалыг ашиглана уу: quartus_sh –flow compile blinking_led –c blinking_led_default
  11. Blinking_led_slow болон blinking_led_empty хэрэгжилтийн засваруудыг бэлтгэж эмхэтгэхийн тулд 4-өөс 11 хүртэлх алхмуудыг давтана уу.

1.5.8. Алхам 8: SUPR логикийг өөрчил
SUPR хуваалтын логикийн функцийг өөрчлөхийн тулд та SUPR хуваалтын эх үүсвэрийг өөрчлөх ёстой. SUPR хуваалт дахь u_top_counter жишээг top_counter_fast нэгжээр солихын тулд дараах алхмуудыг гүйцэтгэнэ үү.

  1. SUPR хэрэгжилтийн засварыг одоогийн байдлаар тохируулахын тулд Project ➤ Revisions дээр дарж impl_blinking_led_supr_new-г одоогийн хувилбараар тохируулах эсвэл
    Intel Quartus Prime үндсэн хэрэгслийн самбар дээрх засвар.
  2. Зөв эх сурвалжийг баталгаажуулахын тулд file хэрэгжилтийн өөрчлөлтийг харах бол Төсөл ➤ дээр дарна уу
    Нэмэх/ Устгах fileТөсөлд байгаа бөгөөд top_counter_fast.sv нь impl_blinking_led_supr_new хэрэгжилтийн засварын эх сурвалж мөн эсэхийг шалгаарай. Хэрэв байгаа бол top_counter.sv-г төслийн жагсаалтаас хас files.intel Agilex F-Series FPGA Development Board - Даалгаврууд
  3. .qdb-г тодорхойлохын тулд file үндсэн хуваалттай холбоотой бол Даалгаврууд ➤ Дизайн хуваалтуудын цонхыг товшоод, хуваалтын мэдээллийн сан дээр давхар товшино уу. File blinking_led_static.qdb-г зааж өгөх нүд.
    Эсвэл үүнийг оноохын тулд дараах тушаалыг ашиглана уу file: set_instance_assignment -нэр QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
  4. pr_partition-д зориулсан Entity Re-binding нүдэнд тохирох нэгжийн нэрийг зааж өгнө үү. Энэ эксample, blinking_led_empty объектыг зааж өгнө үү. Энэ тохиолдолд та үндсэн засварын эмхэтгэлээс u_blinking_led жишээг linking_led_empty шинэ нэгжээр дарж бичиж байна. Дараах мөр одоо .qsf-д байна:
    ##impl_blinking_led_supr_new.qsf set_instance_assignment -Нэр ENTITY_REBINDING анивчих_led_empty \ -to u_blinking_led
  5. Supr_partition-д зориулсан Entity Re-binding нүдэнд дээд_counter_fast нэгжийг зааж өгнө үү. top_counter_fast гэдэг нь таныг SUPR дуусгах үед u_top_counter-г орлох статик нэгжийн нэр юм.intel Agilex F-Series FPGA Хөгжлийн Зөвлөл - SUPR##impl_blinking_led_supr_new.qsf set_instance_assignment -Нэр ENTITY_REBINDING top_counter_fast \ -to u_top_counter
  6. Дизайныг эмхэтгэхийн тулд Processing ➤ Start Compilation дээр дарна уу. Эсвэл энэ төслийн засварыг эмхэтгэхийн тулд дараах тушаалыг ашиглана уу: quartus_sh –flow compile blinking_led –c \ impl_blinking_led_supr_new

1.5.9. Алхам 9: Удирдах зөвлөлийг програмчлах
Intel Agilex F-Series FPGA хөгжүүлэлтийн самбарыг холбож програмчлахын тулд эдгээр алхмуудыг дагана уу.

  1. Цахилгаан хангамжийг Intel Agilex F-Series FPGA хөгжүүлэлтийн самбарт холбоно уу.
  2. Компьютерийн USB порт болон хөгжүүлэлтийн самбар дээрх USB программчлалын тоног төхөөрөмжийн хооронд USB кабелийг холбоно уу.
  3. Intel Quartus Prime програмыг нээгээд Tools ➤ Programmer дээр дарна уу. Хөгжлийн зөвлөлийг програмчлах хэсгээс үзнэ үү.
  4. Программист дотроос Hardware Setup дээр дараад USB-Blaster-г сонгоно уу.
  5. Автоматаар илрүүлэх товчийг дараад AGFB014R24B төхөөрөмжийг сонгоно уу.
  6.  OK дарна уу. Intel Quartus Prime программ хангамж нь самбар дээрх гурван FPGA төхөөрөмжтэй программистыг илрүүлж, шинэчилдэг.
  7.  AGFB014R24B төхөөрөмжийг сонгоод "Өөрчлөх" дээр дарна уу File, мөн blinking_led_default.sof-г ачаална уу file.
  8. blinking_led_default.sof-д зориулсан Програм/Тохиргоог идэвхжүүлнэ үү file.
  9. Эхлэх товчийг дараад явцын талбар 100% хүрэхийг хүлээнэ үү.
  10.  Самбар дээрх LED гэрэл анивчихыг ажиглаарай.
  11. Зөвхөн PR бүсийг програмчлах бол blinking_led_default.sof дээр хулганы баруун товчийг дарна уу file Программист дээр очоод PR програмчлал нэмэх дээр дарна уу File. blinking_led_slow.pr_partition.rbf-г сонгоно уу file.
  12. blinking_led_default.sof-д зориулсан программ/тохиргоог идэвхгүй болго file.
  13.  blinking_led_slow.pr_partition.rbf-д зориулсан Програм/Тохиргоог идэвхжүүлнэ file, дараа нь Start дарна уу. Самбар дээр LED[0] болон LED[1] үргэлжлүүлэн анивчихыг ажигла. Явцын талбар 100% хүрэхэд LED[2] болон LED[3] удаан анивчдаг.
  14. PR бүсийг дахин програмчлахын тулд .rbf дээр хулганы баруун товчийг дарна уу file Программист дотроос PR програмчлалыг өөрчлөх гэснийг товшино уу File.
  15.  .rbf-г сонгоно уу files нөгөө хоёр хүн самбар дээрх зан үйлийг ажиглах. blinking_led_default.pr_partition.rbf-г ачаалж байна file Энэ нь LED-үүдийг анхны давтамжаараа анивчуулж, blinking_led_empty.pr_partition.rbf-г ачааллахад хүргэдэг. file LED-үүдийг асаалттай байлгахад хүргэдэг. 17. SUPR логикийг өөрчлөхийн тулд дээрх 7-р алхамыг давтаж impl_blinking_led_supr_new.sof-г сонгоно уу. Үүнийг өөрчилсний дараа file, led [0:1] одоо өмнөхөөсөө илүү хурдан анивчдаг. Нөгөө PR .rbf files нь мөн шинэ .sof-тэй нийцдэг.
    Жич: Ассемблер нь .rbf үүсгэдэг file SUPR бүсийн хувьд. Гэсэн хэдий ч та үүнийг ашиглах ёсгүй file SUPR хуваалт нь ерөнхий систем дэх хөлдөөх гүүр, PR бүсийн хянагч болон бусад логикийг үүсгээгүй тул ажиллах үед FPGA-г дахин програмчлах. Та SUPR хуваалтын логикт өөрчлөлт оруулахдаа бүрэн .sof-г дахин програмчлах ёстой file SUPR хэрэгжилтийн засварын эмхэтгэлээс.

Зураг 12. Хөгжлийн самбарыг програмчлах
intel Agilex F-Series FPGA Хөгжлийн Зөвлөл - Удирдах зөвлөл1.5.9.1. PR програмчлалын алдааг олж засварлах
Intel Quartus Prime программист болон холбогдсон техник хангамжийн зөв тохиргоог хангах нь PR програмчлалын явцад алдаа гарахаас зайлсхийхэд тусална.
Хэрэв танд PR програмчлалын алдаа гарвал Intel Quartus Prime Pro Edition хэрэглэгчийн гарын авлага дахь "PR програмчлалын алдааг олж засварлах" хэсгийг үзнэ үү: Хэсэгчилсэн дахин тохируулга.
Холбогдох мэдээлэл

PR програмчлалын алдааг олж засварлах

1.5.10. SUPR хуваалтыг өөрчилж байна
Та одоо байгаа SUPR хуваалтыг өөрчилж болно. SUPR хуваалтыг өөрчилсний дараа та үүнийг эмхэтгэж, .sof үүсгэх ёстой file, мөн бусад хүмүүсийг эмхэтгэхгүйгээр самбарыг програмчлах. Жишээ ньample, top_counter_fast.sv модулийг илүү хурдан тоолохын тулд өөрчлөхийн тулд дараах алхмуудыг дагана уу:

  1. impl_blinking_led_supr_new-г одоогийн хувилбараар тохируулна уу.
  2.  top_counter_fast.sv дотор file, count_d + 2 хэллэгийг count_d + 4-ээр солино.
  3.  SUPR блокыг дахин нэгтгэж, шинэ .sof үүсгэхийн тулд дараах тушаалуудыг ажиллуулна уу file: quartus_sh –flow эмхэтгэх blinking_led \ -c impl_blinking_led_supr_new
    Үүссэн .sof нь одоо шинэ SUPR мужийг агуулж байгаа бөгөөд анхдагч (асаах) хүний ​​хувьд blinking_led-г ашигладаг.

1.6. AN 987-н баримт бичгийн засварын түүх: Статик шинэчлэлтийн хэсэгчилсэн дахин тохируулгын зааварчилгаа засварын түүх

Баримт бичгийн хувилбар Intel Quartus Prime хувилбар Өөрчлөлтүүд
2022.10.24 22. Баримт бичгийн анхны хувилбар.

Intel® Quartus®Prime Design Suite-д шинэчлэгдсэн: 22.3

Түгээмэл асуултуудын хариулт:

Санал хүсэлт илгээх

Q Статик шинэчлэлтийг хэсэгчлэн дахин тохируулах гэж юу вэ

3-р хуудсан дээрх Статик шинэчлэлтийн хэсэгчилсэн тохиргоо

Q Энэ зааварт юу хэрэгтэй вэ?

Хичээлийн шаардлага 3-р хуудас

Асуулт Би лавлах загварыг хаанаас авч болох вэ?

Татаж авах лавлах загвар Files 5-р хуудсанд

Q Би SUPR загварыг хэрхэн бүтээх вэ?

6-р хуудасны лавлах дизайны танилцуулга

Q PR хүн гэж юу вэ?

10-р хуудсанд байгаа хүмүүсийг тодорхойл

Q Би SUPR логикийг хэрхэн өөрчлөх вэ? A SUPR логикийг өөрчлөх 16-р хуудас

A SUPR логикийг өөрчлөх 16-р хуудас

Q Би самбарыг хэрхэн програмчлах вэ?

Удирдах зөвлөлийн хөтөлбөр 18-р хуудас

Асуулт, PR-д мэдэгдэж байгаа асуудлууд, хязгаарлалтууд юу вэ?

Intel FPGA дэмжлэг үзүүлэх форумууд: PR

intel Agilex F-Series FPGA Хөгжлийн Зөвлөл - Дүрс Онлайн хувилбар
intel Agilex F-Series FPGA Development Board - Icon 154 Санал хүсэлт илгээх

ID: 749443
АН-987
Хувилбар: 2022.10.24

Баримт бичиг / нөөц

intel Agilex F-Series FPGA Хөгжлийн Зөвлөл [pdf] Хэрэглэгчийн гарын авлага
Agilex F-Series, Agilex F-Series FPGA Development Board, FPGA Development Board, Development Board, Board

Лавлагаа

Сэтгэгдэл үлдээгээрэй

Таны имэйл хаягийг нийтлэхгүй. Шаардлагатай талбаруудыг тэмдэглэсэн *