logotip intelAN 987: Delna statična posodobitev
Vadnica za ponovno konfiguracijo

Vadnica za delno rekonfiguracijo statične posodobitve za razvojno ploščo FPGA Intel® ™ Agilex serije F

Ta opomba o aplikaciji prikazuje delno rekonfiguracijo statične posodobitve (SUPR) na razvojni plošči Intel® F-Series FPGA. Delna rekonfiguracija (PR) vam omogoča, da dinamično ponovno konfigurirate del Intel FPGA, medtem ko preostali del FPGA še naprej deluje. PR implementira več osebnosti v določeni regiji v vaš dizajn, ne da bi vplival na delovanje na območjih zunaj te regije. Ta metodologija zagotavlja naslednje prednostitages v sistemih, v katerih več funkcij časovno deli iste vire FPGA:

  • Omogoča rekonfiguracijo med izvajanjem
  • Poveča razširljivost oblikovanja
  • Zmanjša čas nedelovanja sistema
  • Podpira funkcije dinamičnega časovnega multipleksiranja v zasnovi
  • Zmanjša stroške in porabo energije z učinkovito uporabo prostora na plošči

Kaj je delna rekonfiguracija statične posodobitve?

V tradicionalni PR vsaka sprememba statične regije zahteva ponovno prevajanje vsake osebe. Vendar pa lahko s SUPR definirate specializirano regijo, ki omogoča spreminjanje, ne da bi bilo treba ponovno sestaviti osebnosti. Ta tehnika je uporabna za del načrta, ki ga boste morda želeli spremeniti za zmanjšanje tveganja, vendar nikoli ne zahteva ponovne konfiguracije izvajalnega časa.

1.1. Zahteve za vadnico
Ta vadnica zahteva naslednje:

  • Osnovno poznavanje izvajanja in projekta Intel Quartus® Prime Pro Edition FPGA files.
  •  Namestitev Intel Quartus Prime Pro Edition različice 22.3 s podporo za naprave Intel Agilex.
  • Za izvedbo FPGA je JTAG povezava z razvojno ploščo Intel Agilex F-Series FPGA na namizju.
  • Prenesite referenčni dizajn Files. Povezane informacije
  • Uporabniški priročnik za delno rekonfiguracijo
  • Vadnice za delno rekonfiguracijo
  • Spletno usposabljanje za delno rekonfiguracijo

Intel Corporation. Vse pravice pridržane. Intel, logotip Intel in druge znamke Intel so blagovne znamke družbe Intel Corporation ali njenih podružnic. Intel jamči za delovanje svojih izdelkov FPGA in polprevodnikov v skladu s trenutnimi specifikacijami v skladu z Intelovo standardno garancijo, vendar si pridržuje pravico do sprememb katerega koli izdelka in storitve kadar koli brez predhodnega obvestila. Intel ne prevzema nobene odgovornosti ali obveznosti, ki izhaja iz uporabe ali uporabe katere koli informacije, izdelka ali storitve, opisanih tukaj, razen če je Intel izrecno pisno privolil v to. Intelovim strankam svetujemo, da pridobijo najnovejšo različico specifikacij naprave, preden se zanesejo na kakršne koli objavljene informacije in preden oddajo naročila za izdelke ali storitve. *Druga imena in blagovne znamke so lahko last drugih.
ISO 9001:2015 Registriran
1.2. Konec referenčnega oblikovanjaview
Ta referenčna zasnova je sestavljena iz enega 32-bitnega števca. Na ravni plošče zasnova poveže uro z virom 50 MHz in nato poveže izhod s štirimi LED diodami na plošči. Izbira izhoda bitov števca v določenem zaporedju povzroči utripanje LED diod z določeno frekvenco. Modul top_counter je regija SUPR.
Slika 1. Ravna referenčna zasnova

logotip intel1.3. Regija statične posodobitve je končanaview
Naslednja slika prikazuje blokovni diagram za načrt PR, ki vključuje regijo SUPR. Blok A je zgornja statična regija. Blok B je regija SUPR. Blok C je PR particija.
Slika 2. Oblikovanje PR z regijo SUPR

intel Agilex F-Series FPGA Development Board – Regija

  • Zgornja statična regija—vsebuje logiko načrtovanja, ki se ne spreminja. Spreminjanje te regije zahteva ponovno prevajanje vseh povezanih oseb. Statična regija vključuje del zasnove, ki se ne spremeni za nobeno osebo. Ta regija lahko vključuje vire perifernih in osnovnih naprav. Registrirati morate vso komunikacijo med particijo SUPR in PR v statični regiji. Ta zahteva pomaga zagotoviti časovno zaprtje za vse osebe glede na statično regijo.
  • B Regija SUPR—vsebuje samo jedrno logiko, ki se lahko spremeni za zmanjšanje tveganja, vendar nikoli ne zahteva ponovne konfiguracije izvajalnega časa. Regija SUPR ima enake zahteve in omejitve kot particija PR. Particija SUPR lahko vsebuje samo osnovne vire. Zato mora biti particija SUPR podrejena particija korenske particije najvišje ravni, ki vsebuje periferijo načrta in ure. Spreminjanje regije SUPR ustvari objekt SRAM File (.sof), ki je združljiv z vsemi obstoječimi prevedenimi neobdelanimi dvojiškimi datotekami File (.rbf) files za PR particijo C.
  • Particija C PR—vsebuje poljubno logiko, ki jo lahko reprogramirate med izvajanjem s katero koli logiko načrtovanja, ki ustreza in doseže časovno zapiranje med prevajanjem.

1.4. Prenesite referenčni dizajn Files
Vadnica za delno rekonfiguracijo je na voljo na naslednjem mestu: https://github.com/intel/fpga-partial-reconfig
Za prenos vadnice:

  1. Kliknite Kloniraj ali prenesi.
  2. Kliknite Prenesi ZIP. Razpakirajte fpga-partial-reconfig-master.zip file.
  3. Za dostop do referenčnega dizajna se pomaknite do podmape tutorials/agilex_pcie_devkit_blinking_led_supr.
    Ravna mapa je sestavljena iz naslednjega files:
    Tabela 1. Referenčna zasnova Files
File Ime Opis
vrh. sv Najvišja raven file ki vsebuje ravno izvedbo zasnove. Ta modul ustvari podparticijo blinking_led in modul top_counter.
t op_števec. sv 32-bitni števec najvišje ravni, ki neposredno krmili LED [1]. Registrirani izhod števca krmili LED [0] in napaja tudi LED [2] in LED [3] prek modula blinking_led.
utripajoča_led. sdc Določa časovne omejitve za projekt.
utripajoča_led. sv V tej vadnici pretvorite ta modul v nadrejeno PR particijo. Modul prejme registriran izhod modula top_counter, ki krmili LED [2] in LED [3].
blinking_led.qpf Projekt Intel Quartus Prime file ki vsebuje seznam vseh revizij v projektu.
utripajoča_led . qs f Nastavitve Intel Quartus Prime file ki vsebuje dodelitve in nastavitve za projekt.

Opomba: Mapa supr vsebuje celoten nabor fileustvarite s to aplikacijo opomba. Navedite te files na kateri koli točki med prehodom.
1.5. Potek referenčnega oblikovanja
Naslednji koraki opisujejo izvedbo SUPR s ploščato zasnovo:

  • 1. korak: Začetek
  • 2. korak: Ustvarite oblikovalske particije
  • 3. korak: Dodelite območja umestitve in usmerjanja
  • 4. korak: Definirajte osebnosti
  • 5. korak: Ustvarite revizije
  • 6. korak: Prevedite osnovno revizijo
  • 7. korak: Nastavite revizije implementacije PR
  • 8. korak: Spremenite logiko SUPR
  • 9. korak: Programirajte ploščo

Slika 3. Potek prevajanja SUPR

intel Agilex F-Series FPGA Development Board - Flow

1.5.1. 1. korak: Začetek
Za kopiranje referenčnega dizajna files v vaše delovno okolje in sestavite ravno zasnovo blinking_led:

  1. Preden začnete, prenesite Reference Design Files na strani 5.
  2. Ustvarite imenik agilex_pcie_devkit_blinking_led_supr v svojem delovnem okolju.
  3. Kopirajte preneseno podmapo tutorials/agilex_pcie_devkit_blinking_led/flat v imenik agilex_pcie_devkit_blinking_led_supr.
  4. V programski opremi Intel Quartus Prime Pro Edition kliknite File ➤ Odprite Project in odprite /flat/blinking_led.qpf.
  5. Za prevajanje osnovne zasnove kliknite Obdelava ➤ Začni prevajanje. Poročila Timing Analyzer se samodejno odprejo, ko je prevajanje končano. Zaenkrat lahko zaprete časovni analizator.

1.5.2. 2. korak: Ustvarite oblikovalske particije
Ustvarite oblikovne particije za vsako regijo, ki jo želite delno na novo konfigurirati. V svojem projektu lahko ustvarite poljubno število neodvisnih particij ali regij PR. Sledite tem korakom, da ustvarite particije zasnove za primerek u_blinking_led kot particijo PR in primerek u_top_counter kot regijo SUPR:

  1. Z desno miškino tipko kliknite primerek u_blinking_led v Project Navigatorju in kliknite Design Partition
    ➤ Možnost ponovne konfiguracije. Poleg vsakega primerka, ki je nastavljen kot particija, se prikaže ikona zasnove particije.
    Slika 4. Ustvarjanje načrtovnih particijintel Agilex F-Series FPGA Development Board – Particije
  2. Ponovite korak 1, da ustvarite particijo za primerek u_top_counter.
  3. Kliknite Dodelitve ➤ Okno načrtovanja particij. V oknu so prikazane vse konstrukcijske particije v projektu.
    Slika 5. Okno načrtovanja particij
    intel Agilex F-Series FPGA Development Board - Window
  4. Dvokliknite celico Ime particije blinking_led, da jo preimenujete v pr_partition. Podobno preimenujte particijo top_counter v supr_partition.
    Če dodate naslednje vrstice v blinking_led.qsf, ustvarite te particije:
    set_instance_assignment -name PARTITION pr_partition \ -to u_blinking_led -entity top
    set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
    set_instance_assignment -name PARTITION supr_partition \ -to u_top_counter -entity top
    set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -entity top

1.5.3. 3. korak: Dodelite območja umestitve in usmerjanja
Za vsako osnovno revizijo, ki jo ustvarite, prevajalnik uporabi dodelitev regije PR particije, da umesti ustrezno osebno jedro v rezervirano regijo. Sledite tem korakom, da poiščete in dodelite regijo PR v tlorisu naprave za svojo osnovno revizijo:

  1. Na zavihku Hierarhija Krmarja projekta z desno miškino tipko kliknite primerek u_blinking_led in nato kliknite Regija logičnega zaklepanja ➤ Ustvari novo regijo logičnega zaklepanja. Regija se prikaže v oknu Logic Lock Regions.
  2. Določite širino območja 5 in višino 5.
  3. Določite koordinate območja umestitve za u_blinking_led v stolpcu Origin. Izvor ustreza spodnjemu levemu kotu regije. Navedite izvor kot X166_Y199. Prevajalnik izračuna (X170 Y203) kot zgornjo desno koordinato.
  4. Omogočite možnosti Rezervirano in Samo jedro za regijo.
  5. Dvokliknite možnost Routing Region. Prikaže se pogovorno okno Logic Lock Routing Region Settings.
  6. Za vrsto usmerjanja izberite Fiksno z razširitvijo. Ta možnost samodejno dodeli dolžino razširitve ena.
  7. Ponovite prejšnje korake, da dodelite naslednje vire za particijo u_top_counter:
    • Višina—5
    • Širina—5
    • Izvor—X173_Y199
    • Območje usmerjanja— Popravljeno z razširitvijo z dolžino razširitve ena.
    • Rezervirano—vklopljeno
    • Samo jedro—Vklopljeno
    Slika 6. Okno Logic Lock Regions
    Intel Agilex F-Series FPGA Development Board – okno regij
    Opomba: Območje usmerjanja mora biti večje od območja umestitve, da se zagotovi dodatna prilagodljivost za usmerjanje prevajalnikatage, ko prevajalnik usmerja različne osebe.
  8. Vaša regija umestitve mora zajemati logiko blinking_led. Če želite izbrati območje postavitve tako, da poiščete vozlišče v načrtovalniku čipov, z desno miškino tipko kliknite ime regije u_blinking_led v oknu Logic Lock Regions in nato kliknite Poišči vozlišče ➤ Poišči v načrtovalniku čipov.
  9.  V razdelku Partition Reports dvokliknite Report Design Partitions. Načrtovalnik čipov poudari in barvno kodira regijo.

Slika 7. Lokacija vozlišča načrtovalnika čipov za blinking_led
Intel Agilex F-Series FPGA Development Board -blinking_ledDruga možnost je, da dodate naslednje vrstice v blinking_led.qsf ustvarite te regije:
set_instance_assignment -name PARTITION pr_partition -to \ u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition -to u_top_counter \ -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to \ u_top_counter -entity top
set_instance_assignment -name PLACE_REGION “X166 Y199 X170 Y203” -to \ u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -name ROUTE_REGION “X165 Y198 X171 Y204” -to \ u_blinking_led
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -to u_blinking_led
set_instance_assignment -name PLACE_REGION “X173 Y199 X177 Y203” -to \ u_top_counter
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -name ROUTE_REGION “X172 Y198 X178 Y204” -to \ u_top_counter
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -to u_top_counter
1.5.4. 4. korak: Definirajte osebnosti

Ta referenčna zasnova definira tri ločene osebe za eno particijo PR in eno osebo SUPR za regijo SUPR. Sledite tem korakom, da definirate in vključite te osebe v svoj projekt. Če uporabljate urejevalnik besedil Intel Quartus Prime, onemogočite Dodaj file
na trenutni projekt pri shranjevanju files.

  1. Ustvarite nove blinking_led_slow.sv, blinking_led_empty.sv in top_counter_fast.sv SystemVerilog files v vašem delovnem imeniku. Potrdite, da je blinking_led.sv že prisoten v delovnem imeniku.
  2.  Vnesite naslednje vsebine za SystemVerilog files:
    Tabela 2. Persone referenčnega načrta SystemVerilog
    File Ime Opis Koda
    blinking_led_slow. sv LED diode utripajo počasneje časovni okvir 1 ps / 1 ps 'default_nettype brez
    modul blinking_led_slow // ura
    ura vhodne žice, ponastavitev vhodne žice, vhodna žica [števec 31:01,
    // Krmilni signali za LED izhodno žico led_two_on,
    izhodna žica led_three_on localparam COUNTER_TAP = 27;
    reg led_two_on_r; noga led_tri_na_r; dodeli led_two_on = led_two_on_r; dodeli led_three_on = led_three_on_r; always_ff @(posedge clock) begin led_two_on_r <= counter[COUNTER_TAP]; led_three_on_r <= števec[COUNTER_TAP]; končni končni modul
    utripajoča_led_prazna. sv LED diode ostanejo VKLOPLJENE časovna skala 1 ps / 1 ps 'default_nettype brez modula blinking_led_empty( // ura vhodne žice ura, ponastavitev vhodne žice, vhodne žice [števec 31:01, // Kontrolni signali za LEC-izhodna žica led_two_on, izhodna žica led_three_on
    nadaljevanje ...
    File Ime Opis Koda
    // LED je aktivna nizka dodelitev led_two_on = l'IDO; dodeli led_three_on = 11b0; končni modul
    top_counter_fast.sv Drugi SUPR 'časovni okvir 1 ps / 1 ps
    persona Thdefault_nettype brez modula top_counter_fast
    // Krmilni signali za izhodno žico LED led_one_on, štetje izhodne žice [31:0], // ura vhodne žice ura
    ) ; localparam ŠTEVEC TAP = 23; reg [31:0] štetje_d; dodeli count = count_d; dodeli led_one_on = ount_d[COUNTER_TAP]; always_ff @(posedge clock) začetek count_d <= count_d + 2; konec
    .:modul
  3.  Kliknite File ➤ Shrani kot in shranite .sv files v trenutnem imeniku projekta.

1.5.5. 5. korak: Ustvarite revizije
Potek načrtovanja PR uporablja funkcijo revizij projekta v programski opremi Intel Quartus Prime. Vaša začetna zasnova je osnovna revizija, kjer določite statične meje regij in rekonfigurabilna območja na FPGA. Iz osnovne revizije ustvarite dodatne revizije. Te revizije vsebujejo različne izvedbe za PR regije. Vendar pa vse revizije izvedbe PR uporabljajo iste rezultate umestitve in usmerjanja na najvišji ravni iz osnovne revizije. Če želite sestaviti zasnovo PR, ustvarite revizijo izvedbe PR za vsako osebo. Poleg tega morate za vsako od revizij dodeliti bodisi delno rekonfiguracijo – osnovno ali delno rekonfiguracijo – implementacijo osebnosti. Naslednja tabela navaja ime revizije in vrsto revizije za vsako od revizij. Revizija impl_blinking_led_supr_new.qsf je implementacija osebe SUPR.
Tabela 3. Imena in tipi revizij

Ime revizije Vrsta revizije
utripajoča_led Delna rekonfiguracija – osnova
blinking_led_default Delna rekonfiguracija – Implementacija Persona
blinking_led_slow Delna rekonfiguracija – Implementacija Persona
utripajoča_led_prazna Delna rekonfiguracija – Implementacija Persona
impl_blinking_led_supr_novo Delna rekonfiguracija – Implementacija Persona

1.5.5.1. Nastavitev osnovne revizije
Sledite tem korakom, da nastavite blinking_led kot osnovno revizijo:

  1. Kliknite Projekt ➤ Revizije.
  2. Za vrsto revizije izberite Delna rekonfiguracija – Osnovna.

Intel Agilex F-Series FPGA Development Board – RevizijeTa korak v datoteko blinking_led.qsf doda naslednje:
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
1.5.5.2. Ustvarjanje revizij izvedbe
Sledite tem korakom, da ustvarite revizije izvedbe:

  1. V pogovornem oknu Revizije dvokliknite < >.
  2. V Ime revizije podajte blinking_led_default in izberite blinking_led za Na podlagi revizije.
  3. Za vrsto revizije izberite Delna rekonfiguracija – Implementacija osebnosti.
  4. Onemogočite možnost Nastavi kot trenutno revizijo.
  5. Ponovite korake od 2 do 5, da nastavite vrsto revizije za druge izvedbene revizije:
Ime revizije Vrsta revizije Na podlagi Revision
blinking_led_slow Delna rekonfiguracija – Implementacija Persona utripajoča_led
utripajoča_led_prazna Delna rekonfiguracija – Implementacija Persona utripajoča_led
impl_blinking_led_supr_novo Delna rekonfiguracija – Implementacija Persona utripajoča_led

Slika 8. Ustvarjanje revizij izvedbe

Intel Agilex F-Series FPGA Development Board – Implementation RevisionsVsak .qsf file zdaj vsebuje naslednjo nalogo:
set_global_assignment -name REVISION_TYPE PR_IMPL
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_top_counter
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_blinking_led
1.5.6. 6. korak: Prevedite osnovno revizijo
Sledite tem korakom, da prevedete osnovno revizijo in izvozite statične regije in regije SUPR za kasnejšo uporabo v revizijah implementacije za nove osebe PR:

  1. Nastavite blinking_led kot trenutno revizijo, če še ni nastavljena.
  2. V oknu za načrtovanje particij kliknite (…) poleg skrajnega desnega stolpca in omogočite post končni izvoz File stolpec. Vrstni red stolpcev lahko tudi onemogočite ali spremenite.
  3. Če želite samodejno izvoziti končni posnetek particij zasnove izvedbe PR po vsaki kompilaciji, podajte naslednje za post končni izvoz File možnosti za root in SUPR particijo. .qdb files privzeto izvozi v imenik projekta.
    • root_partition—blinking_led_static.qdb
    • supr_partition—utripajoča_led_supr_partition_final.qdb
    Slika 9. Samodejni izvoz v oknu Design PartitionsIntel Agilex F-Series FPGA Development Board – Partitions WindowDruga možnost je, da naslednje dodelitve .qsf samodejno izvozijo particije po vsaki kompilaciji:
    set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | - vrh entitete
    set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity top
  4. Če želite prevesti osnovno revizijo blinking_led, kliknite Processing ➤ Start
    Kompilacija. Druga možnost je, da uporabite naslednji ukaz za prevajanje te revizije:
    quartus_sh –flow compile blinking_led -c blinking_led Po uspešnem prevajanju se naslednje filese prikažejo v imeniku projekta:
    • utripajoča_led.sof
    • utripajoča_led.pr_particija.rbf
    • utripajoča_led.supr_particija.rbf
    • blinking_led_static.qdb
    • blinking_led_supr_partition_final.qdb

1.5.7. 7. korak: Nastavite revizije izvajanja PR
Preden lahko ustvarite bitni tok PR za programiranje naprave, morate pripraviti revizije izvedbe PR. Ta nastavitev vključuje dodajanje statične regije .qdb file kot vir file za vsako revizijo izvedbe. Poleg tega morate navesti
ustreznega subjekta regije PR. Sledite tem korakom, da nastavite revizije implementacije PR:

  1.  Če želite nastaviti trenutno revizijo, kliknite Projekt ➤ Revizije, izberite blinking_led_default kot ime revizije in nato kliknite Nastavi trenutno. Lahko pa izberete trenutno revizijo v glavni orodni vrstici Intel Quartus Prime.
  2. Če želite preveriti pravilen vir za to revizijo izvedbe, kliknite Projekt ➤ Dodaj/Odstrani Files v projektu. Potrdite, da blinking_led.sv file se pojavi v file seznam.Razvojna plošča FPGA intel Agilex serije F - okno particij 1
  3. Za preverjanje pravilnega vira file za revizije izvedbe kliknite Projekt ➤ Dodaj/Odstrani files v Projectu in dodajte naslednji vir files za izvedbene revizije. Če je prisoten, odstranite blinking_led.sv s seznama projektov files.
    IImplementation Revision Name Vir File
    utripajoča_led_prazna blinking_led_empty.sv
    blinking_led_slow blinking_led_slow.sv
  4. Nastavite blinking_led_default kot trenutno revizijo.
  5. Če želite določiti .qdb file kot vir za root_partition kliknite Dodelitve ➤ Okno načrtovanja particij. Dvokliknite particijsko zbirko podatkov File in podajte datoteko blinking_led_static.qdb file.
  6. Podobno določite blinking_led_supr_partition_final.qdb kot particijsko bazo podatkov File za supr_particijo.

    Slika 10.intel Agilex F-Series FPGA Development Board - navediteDruga možnost je, da uporabite naslednje dodelitve .qsf, da določite .qdb:
    set_instance_assignment -name QDB_FILE_PARTICIJA \ blinking_led_static.qdb -to |
    set_instance_assignment -name QDB_FILE_PARTICIJA \ blinking_led_supr_partition_final.qdb -to u_top_counter

  7. V oknu Design Partitions kliknite (…) poleg skrajnega desnega stolpca in omogočite stolpec Entity Re-binding.
  8.  V celici Ponovna vezava entitete podajte novo ime entitete za particijo PR, ki jo spreminjate v trenutni reviziji izvedbe. Za revizijo implementacije blinking_led_default je ime entitete blinking_led. V tem primeru prepisujete primerek u_blinking_led iz prevajanja osnovne revizije z novo entiteto blinking_led. Za druge izvedbene revizije glejte naslednjo tabelo:

    Revizija Vrednost ponovne vezave entitete
    blinking_led_slow blinking_led_slow
    utripajoča_led_prazna utripajoča_led_prazna

    Slika 11. Ponovno povezovanje entiteteIntel Agilex F-Series FPGA Development Board – Ponovno povezovanjeDruga možnost je, da za nastavitev dodelitev uporabite naslednje vrstice v .qsf vsake revizije:
    ##blinking_led_default.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led \ -to u_blinking_led
    ##blinking_led_slow.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
    ##blinking_led_empty.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led

  9. Izbrišite place_holder besedilo iz celice Entity Re-binding za supr_partition.
  10. Če želite prevesti načrt, kliknite Obdelava ➤ Začni prevajanje. Druga možnost je, da za prevajanje tega projekta uporabite naslednji ukaz: quartus_sh –flow compile blinking_led –c blinking_led_default
  11. Ponovite korake od 4 do 11, da pripravite in prevedete revizije implementacije blinking_led_slow in blinking_led_empty.

1.5.8. 8. korak: Spremenite logiko SUPR
Če želite spremeniti funkcionalnost logike znotraj particije SUPR, morate spremeniti izvor particije SUPR. Izvedite naslednje korake, da primerek u_top_counter v particiji SUPR zamenjate z entiteto top_counter_fast.

  1. Če želite revizijo izvedbe SUPR nastaviti kot trenutno, kliknite Projekt ➤ Revizije in nastavite impl_blinking_led_supr_new kot trenutno revizijo ali izberite
    revizijo v glavni orodni vrstici Intel Quartus Prime.
  2. Za preverjanje pravilnega vira file za revizijo izvedbe kliknite Projekt ➤
    Dodaj/Odstrani files v projektu in preverite, ali je top_counter_fast.sv vir za revizijo implementacije impl_blinking_led_supr_new. Če je prisoten, odstranite top_counter.sv s seznama projektov files.Intel Agilex F-Series FPGA Development Board – Naloge
  3. Če želite določiti .qdb file povezano s korensko particijo, kliknite Dodelitve ➤ Okno za načrtovanje particij in nato dvokliknite Zbirka podatkov o particiji File celico za določitev blinking_led_static.qdb.
    Druga možnost je, da to dodelite z naslednjim ukazom file: set_instance_assignment -name QDB_FILE_PARTICIJA \ blinking_led_static.qdb -to |
  4. V celici Entity Re-binding za pr_partition podajte ustrezno ime entitete. Za tega bivšegaample, določite entiteto blinking_led_empty. V tem primeru prepisujete primerek u_blinking_led iz prevajanja osnovne revizije z novo entiteto linking_led_empty. Naslednja vrstica zdaj obstaja v .qsf:
    ##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led
  5. V celici Entity Re-binding za supr_partition podajte entiteto top_counter_fast. top_counter_fast je ime statične entitete, ki nadomesti u_top_counter, ko dokončate SUPR.intel Agilex F-Series FPGA Development Board - SUPR##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to u_top_counter
  6. Če želite prevesti načrt, kliknite Obdelava ➤ Začni prevajanje. Druga možnost je, da uporabite naslednji ukaz za prevajanje te revizije projekta: quartus_sh –flow compile blinking_led –c \ impl_blinking_led_supr_new

1.5.9. 9. korak: Programirajte ploščo
Sledite tem korakom za povezavo in programiranje razvojne plošče FPGA Intel Agilex serije F.

  1. Priključite napajalnik na razvojno ploščo FPGA Intel Agilex serije F.
  2. Povežite kabel USB med vrati USB vašega računalnika in strojno opremo za programiranje USB na razvojni plošči.
  3. Odprite programsko opremo Intel Quartus Prime in kliknite Tools ➤ Programmer. Glejte Programiranje razvojne plošče.
  4. V programatorju kliknite Nastavitev strojne opreme in nato izberite USB-Blaster.
  5. Kliknite Samodejno zaznaj in nato izberite napravo AGFB014R24B.
  6.  Kliknite OK. Programska oprema Intel Quartus Prime zazna in posodobi programator s tremi napravami FPGA na plošči.
  7.  Izberite napravo AGFB014R24B, kliknite Spremeni Filein naložite blinking_led_default.sof file.
  8. Omogoči program/konfiguriraj za blinking_led_default.sof file.
  9. Kliknite Start in počakajte, da vrstica napredka doseže 100 %.
  10.  Opazujte, kako LED diode na plošči utripajo.
  11. Če želite programirati samo regijo PR, z desno miškino tipko kliknite blinking_led_default.sof file v programerju in kliknite Dodaj PR programiranje File. Izberite blinking_led_slow.pr_partition.rbf file.
  12. Onemogoči program/konfiguriraj za blinking_led_default.sof file.
  13.  Omogoči program/konfiguriraj za blinking_led_slow.pr_partition.rbf filein nato kliknite Start. Na plošči opazujte, kako LED [0] in LED [1] še naprej utripata. Ko vrstica napredka doseže 100 %, LED[2] in LED[3] utripata počasneje.
  14. Če želite ponovno programirati regijo PR, z desno miškino tipko kliknite .rbf file v programerju in nato kliknite Spremeni PR programiranje File.
  15.  Izberite datoteko .rbf filee, da drugi dve osebi opazujeta vedenje na tabli. Nalaganje blinking_led_default.pr_partition.rbf file povzroči, da lučke LED utripajo z izvirno frekvenco, in nalaganje blinking_led_empty.pr_partition.rbf file povzroči, da lučke LED ostanejo VKLOPLJENE. 17. Če želite spremeniti logiko SUPR, ponovite zgornji korak 7, da izberete impl_blinking_led_supr_new.sof. Po spremembi tega file, lučka [0:1] zdaj utripa hitreje kot prej. Drugi PR .rbf files so združljivi tudi z novimi .sof.
    Opomba: Assembler ustvari .rbf file za regijo SUPR. Vendar tega ne smete uporabljati file za ponovno programiranje FPGA med izvajanjem, ker particija SUPR ne instancira zamrznitvenega mostu, krmilnika regije PR in druge logike v celotnem sistemu. Ko spremenite logiko particije SUPR, morate znova programirati celotno datoteko .sof file iz zbirke revizij izvajanja SUPR.

Slika 12. Programiranje razvojne plošče
intel Agilex F-Series FPGA Development Board - Plošča1.5.9.1. Odpravljanje napak pri programiranju PR
Zagotavljanje pravilne nastavitve programatorja Intel Quartus Prime in povezane strojne opreme pomaga preprečiti morebitne napake med programiranjem PR.
Če se soočite s kakršnimi koli napakami pri programiranju PR, glejte »Odpravljanje napak pri programiranju PR« v uporabniškem priročniku Intel Quartus Prime Pro Edition: delna rekonfiguracija za nasvete za odpravljanje težav po korakih.
Povezane informacije

Odpravljanje napak pri programiranju PR

1.5.10. Spreminjanje particije SUPR
Spremenite lahko obstoječo particijo SUPR. Ko spremenite particijo SUPR, jo morate prevesti, ustvariti datoteko .sof filein programirajte ploščo brez prevajanja drugih oseb. Na primerample, sledite tem korakom, da spremenite modul top_counter_fast.sv tako, da bo štel hitreje:

  1. Nastavite impl_blinking_led_supr_new kot trenutno revizijo.
  2.  V top_counter_fast.sv file, zamenjajte stavek count_d + 2 s count_d + 4.
  3.  Zaženite naslednje ukaze, da ponovno sintetizirate blok SUPR in ustvarite nov .sof file: quartus_sh –flow compile blinking_led \ -c impl_blinking_led_supr_new
    Nastali .sof zdaj vsebuje novo regijo SUPR in uporablja blinking_led za privzeto osebnost (ob vklopu).

1.6. Zgodovina revizij dokumenta AN 987: Zgodovina revizij vadnice za delno rekonfiguracijo statične posodobitve

Različica dokumenta Različica Intel Quartus Prime Spremembe
2022.10.24 22. Začetna izdaja dokumenta.

Posodobljeno za Intel® Quartus®Prime Design Suite: 22.3

Odgovori na pogosta vprašanja:

Pošlji povratne informacije

Q Kaj je statična posodobitev, delna rekonfiguracija

Delna rekonfiguracija statične posodobitve na strani 3

V Kaj potrebujem za to vadnico?

Zahteve za vadnico na 3. strani

V Kje lahko dobim referenčni dizajn?

Referenčni dizajn za prenos Files na strani 5

V Kako ustvarim dizajn SUPR?

Vodič po referenčnem oblikovanju na strani 6

V Kaj je PR oseba?

Definirajte osebe na strani 10

V Kako spremenim logiko SUPR? A Spremenite logiko SUPR na strani 16

A Spremenite logiko SUPR na strani 16

Q Kako programiram ploščo?

A Programirajte tablo na strani 18

V. Katere so znane težave in omejitve PR?

Forumi za podporo Intel FPGA: PR

intel Agilex F-Series FPGA Development Board - Icon Spletna različica
intel Agilex F-Series FPGA Development Board - Icon 154 Pošlji povratne informacije

ID: 749443
AN-987
Različica: 2022.10.24

Dokumenti / Viri

intel Agilex F-Series FPGA Development Board [pdf] Uporabniški priročnik
Agilex F-Series, Agilex F-Series FPGA Development Board, FPGA Development Board, Development Board, Board

Reference

Pustite komentar

Vaš elektronski naslov ne bo objavljen. Obvezna polja so označena *