ಇಂಟೆಲ್ ಲೋಗೋAN 987: ಸ್ಥಿರ ನವೀಕರಣ ಭಾಗಶಃ
ಮರುಸಂರಚನಾ ಟ್ಯುಟೋರಿಯಲ್

Intel® ™ Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್‌ಗಾಗಿ ಸ್ಥಾಯೀ ನವೀಕರಣ ಭಾಗಶಃ ಮರುಸಂರಚನಾ ಟ್ಯುಟೋರಿಯಲ್

ಈ ಅಪ್ಲಿಕೇಶನ್ ಟಿಪ್ಪಣಿ Intel ® F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್‌ನಲ್ಲಿ ಸ್ಥಿರ ನವೀಕರಣ ಭಾಗಶಃ ಮರುಸಂರಚನೆಯನ್ನು (SUPR) ಪ್ರದರ್ಶಿಸುತ್ತದೆ. ಭಾಗಶಃ ಪುನರ್ರಚನೆ (PR) ನಿಮಗೆ Intel FPGA ಯ ಒಂದು ಭಾಗವನ್ನು ಕ್ರಿಯಾತ್ಮಕವಾಗಿ ಮರುಸಂರಚಿಸಲು ಅನುಮತಿಸುತ್ತದೆ, ಆದರೆ ಉಳಿದ FPGA ಕಾರ್ಯನಿರ್ವಹಿಸುವುದನ್ನು ಮುಂದುವರಿಸುತ್ತದೆ. PR ನಿಮ್ಮ ವಿನ್ಯಾಸದಲ್ಲಿ ನಿರ್ದಿಷ್ಟ ಪ್ರದೇಶದಲ್ಲಿ ಬಹು ವ್ಯಕ್ತಿಗಳನ್ನು ಅಳವಡಿಸುತ್ತದೆ, ಈ ಪ್ರದೇಶದ ಹೊರಗಿನ ಪ್ರದೇಶಗಳಲ್ಲಿ ಕಾರ್ಯಾಚರಣೆಯ ಮೇಲೆ ಪರಿಣಾಮ ಬೀರುವುದಿಲ್ಲ. ಈ ವಿಧಾನವು ಈ ಕೆಳಗಿನ ಅಡ್ವಾನ್ ಅನ್ನು ಒದಗಿಸುತ್ತದೆtagಒಂದೇ ಎಫ್‌ಪಿಜಿಎ ಸಂಪನ್ಮೂಲಗಳನ್ನು ಬಹು ಕಾರ್ಯಗಳನ್ನು ಸಮಯ-ಹಂಚಿಕೊಳ್ಳುವ ವ್ಯವಸ್ಥೆಗಳಲ್ಲಿ es:

  • ರನ್-ಟೈಮ್ ಮರುಸಂರಚನೆಯನ್ನು ಅನುಮತಿಸುತ್ತದೆ
  • ವಿನ್ಯಾಸ ಸ್ಕೇಲೆಬಿಲಿಟಿ ಹೆಚ್ಚಿಸುತ್ತದೆ
  • ಸಿಸ್ಟಮ್ ಡೌನ್-ಟೈಮ್ ಅನ್ನು ಕಡಿಮೆ ಮಾಡುತ್ತದೆ
  • ವಿನ್ಯಾಸದಲ್ಲಿ ಡೈನಾಮಿಕ್ ಟೈಮ್-ಮಲ್ಟಿಪ್ಲೆಕ್ಸಿಂಗ್ ಕಾರ್ಯಗಳನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ
  • ಬೋರ್ಡ್ ಜಾಗದ ಸಮರ್ಥ ಬಳಕೆಯಿಂದ ವೆಚ್ಚ ಮತ್ತು ವಿದ್ಯುತ್ ಬಳಕೆಯನ್ನು ಕಡಿಮೆ ಮಾಡುತ್ತದೆ

ಸ್ಥಾಯೀ ನವೀಕರಣ ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಎಂದರೇನು?

ಸಾಂಪ್ರದಾಯಿಕ PR ನಲ್ಲಿ, ಸ್ಥಿರ ಪ್ರದೇಶಕ್ಕೆ ಯಾವುದೇ ಬದಲಾವಣೆಯು ಪ್ರತಿ ವ್ಯಕ್ತಿತ್ವದ ಮರುಸಂಕಲನದ ಅಗತ್ಯವಿದೆ. ಆದಾಗ್ಯೂ, SUPR ನೊಂದಿಗೆ ನೀವು ವ್ಯಕ್ತಿಗಳ ಮರುಸಂಕಲನದ ಅಗತ್ಯವಿಲ್ಲದೇ ಬದಲಾವಣೆಯನ್ನು ಅನುಮತಿಸುವ ವಿಶೇಷ ಪ್ರದೇಶವನ್ನು ವ್ಯಾಖ್ಯಾನಿಸಬಹುದು. ಈ ತಂತ್ರವು ವಿನ್ಯಾಸದ ಒಂದು ಭಾಗಕ್ಕೆ ಉಪಯುಕ್ತವಾಗಿದೆ, ನೀವು ಪ್ರಾಯಶಃ ಅಪಾಯವನ್ನು ತಗ್ಗಿಸಲು ಬದಲಾಯಿಸಲು ಬಯಸಬಹುದು, ಆದರೆ ಅದು ಎಂದಿಗೂ ರನ್ಟೈಮ್ ಮರುಸಂರಚನೆಯ ಅಗತ್ಯವಿರುವುದಿಲ್ಲ.

1.1. ಟ್ಯುಟೋರಿಯಲ್ ಅವಶ್ಯಕತೆಗಳು
ಈ ಟ್ಯುಟೋರಿಯಲ್‌ಗೆ ಈ ಕೆಳಗಿನವುಗಳ ಅಗತ್ಯವಿದೆ:

  • Intel Quartus® Prime Pro ಆವೃತ್ತಿ FPGA ಅನುಷ್ಠಾನದ ಹರಿವು ಮತ್ತು ಯೋಜನೆಯೊಂದಿಗೆ ಮೂಲಭೂತ ಪರಿಚಿತತೆ files.
  •  ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಸಾಧನ ಬೆಂಬಲದೊಂದಿಗೆ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಆವೃತ್ತಿ 22.3 ಸ್ಥಾಪನೆ.
  • FPGA ಅನುಷ್ಠಾನಕ್ಕಾಗಿ, ಒಂದು ಜೆTAG ಬೆಂಚ್‌ನಲ್ಲಿರುವ Intel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿಯೊಂದಿಗೆ ಸಂಪರ್ಕ.
  • ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವನ್ನು ಡೌನ್‌ಲೋಡ್ ಮಾಡಿ Fileರು. ಸಂಬಂಧಿಸಿದ ಮಾಹಿತಿ
  • ಭಾಗಶಃ ಪುನರ್ವಿನ್ಯಾಸ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
  • ಭಾಗಶಃ ಪುನರ್ರಚನೆ ಟ್ಯುಟೋರಿಯಲ್ಗಳು
  • ಭಾಗಶಃ ಪುನರ್ರಚನೆ ಆನ್‌ಲೈನ್ ತರಬೇತಿ

ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್‌ಮಾರ್ಕ್‌ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್‌ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್‌ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್‌ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.
ISO 9001:2015 ನೋಂದಾಯಿಸಲಾಗಿದೆ
1.2. ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ ಮುಗಿದಿದೆview
ಈ ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವು ಒಂದು, 32-ಬಿಟ್ ಕೌಂಟರ್ ಅನ್ನು ಒಳಗೊಂಡಿದೆ. ಬೋರ್ಡ್ ಮಟ್ಟದಲ್ಲಿ, ವಿನ್ಯಾಸವು ಗಡಿಯಾರವನ್ನು 50MHz ಮೂಲಕ್ಕೆ ಸಂಪರ್ಕಿಸುತ್ತದೆ ಮತ್ತು ನಂತರ ಔಟ್‌ಪುಟ್ ಅನ್ನು ಬೋರ್ಡ್‌ನಲ್ಲಿ ನಾಲ್ಕು LED ಗಳಿಗೆ ಸಂಪರ್ಕಿಸುತ್ತದೆ. ಕೌಂಟರ್ ಬಿಟ್‌ಗಳಿಂದ ಔಟ್‌ಪುಟ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟ ಅನುಕ್ರಮದಲ್ಲಿ ಆಯ್ಕೆ ಮಾಡುವುದರಿಂದ ಎಲ್‌ಇಡಿಗಳು ನಿರ್ದಿಷ್ಟ ಆವರ್ತನದಲ್ಲಿ ಮಿಟುಕಿಸುವಂತೆ ಮಾಡುತ್ತದೆ. ಟಾಪ್_ಕೌಂಟರ್ ಮಾಡ್ಯೂಲ್ SUPR ಪ್ರದೇಶವಾಗಿದೆ.
ಚಿತ್ರ 1. ಫ್ಲಾಟ್ ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ

ಇಂಟೆಲ್ ಲೋಗೋ1.3. ಸ್ಥಿರ ನವೀಕರಣ ಪ್ರದೇಶ ಮುಗಿದಿದೆview
ಕೆಳಗಿನ ಚಿತ್ರವು SUPR ಪ್ರದೇಶವನ್ನು ಒಳಗೊಂಡಿರುವ PR ವಿನ್ಯಾಸಕ್ಕಾಗಿ ಬ್ಲಾಕ್ ರೇಖಾಚಿತ್ರವನ್ನು ತೋರಿಸುತ್ತದೆ. ಬ್ಲಾಕ್ ಎ ಟಾಪ್ ಸ್ಟ್ಯಾಟಿಕ್ ಪ್ರದೇಶವಾಗಿದೆ. B ಬ್ಲಾಕ್ SUPR ಪ್ರದೇಶವಾಗಿದೆ. ಬ್ಲಾಕ್ ಸಿ ಎಂಬುದು PR ವಿಭಾಗವಾಗಿದೆ.
ಚಿತ್ರ 2. SUPR ಪ್ರದೇಶದೊಂದಿಗೆ PR ವಿನ್ಯಾಸ

intel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ - ಪ್ರದೇಶ

  • ಉನ್ನತ ಸ್ಥಾಯೀ ಪ್ರದೇಶವು ಬದಲಾಗದ ವಿನ್ಯಾಸ ತರ್ಕವನ್ನು ಒಳಗೊಂಡಿದೆ. ಈ ಪ್ರದೇಶವನ್ನು ಬದಲಾಯಿಸಲು ಎಲ್ಲಾ ಸಂಬಂಧಿತ ವ್ಯಕ್ತಿಗಳ ಮರುಸಂಕಲನದ ಅಗತ್ಯವಿದೆ. ಸ್ಥಿರ ಪ್ರದೇಶವು ಯಾವುದೇ ವ್ಯಕ್ತಿಗೆ ಬದಲಾಗದ ವಿನ್ಯಾಸದ ಭಾಗವನ್ನು ಒಳಗೊಂಡಿದೆ. ಈ ಪ್ರದೇಶವು ಪರಿಧಿ ಮತ್ತು ಕೋರ್ ಸಾಧನ ಸಂಪನ್ಮೂಲಗಳನ್ನು ಒಳಗೊಂಡಿರಬಹುದು. ಸ್ಥಿರ ಪ್ರದೇಶದಲ್ಲಿ SUPR ಮತ್ತು PR ವಿಭಾಗಗಳ ನಡುವಿನ ಎಲ್ಲಾ ಸಂವಹನಗಳನ್ನು ನೀವು ನೋಂದಾಯಿಸಿಕೊಳ್ಳಬೇಕು. ಸ್ಥಿರ ಪ್ರದೇಶಕ್ಕೆ ಸಂಬಂಧಿಸಿದಂತೆ ಯಾವುದೇ ವ್ಯಕ್ತಿಗಳಿಗೆ ಸಮಯ ಮುಚ್ಚುವಿಕೆಯನ್ನು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಲು ಈ ಅವಶ್ಯಕತೆಯು ಸಹಾಯ ಮಾಡುತ್ತದೆ.
  • B SUPR ಪ್ರದೇಶ-ಅಪಾಯ ತಗ್ಗಿಸುವಿಕೆಗಾಗಿ ಪ್ರಾಯಶಃ ಬದಲಾಗಬಹುದಾದ ಕೋರ್-ಮಾತ್ರ ತರ್ಕವನ್ನು ಹೊಂದಿದೆ, ಆದರೆ ರನ್ಟೈಮ್ ಮರುಸಂರಚನೆಯ ಅಗತ್ಯವಿರುವುದಿಲ್ಲ. SUPR ಪ್ರದೇಶವು PR ವಿಭಾಗದಂತೆಯೇ ಅದೇ ಅವಶ್ಯಕತೆಗಳು ಮತ್ತು ನಿರ್ಬಂಧಗಳನ್ನು ಹೊಂದಿದೆ. SUPR ವಿಭಾಗವು ಪ್ರಮುಖ ಸಂಪನ್ಮೂಲಗಳನ್ನು ಮಾತ್ರ ಹೊಂದಿರಬಹುದು. ಆದ್ದರಿಂದ, SUPR ವಿಭಾಗವು ವಿನ್ಯಾಸದ ಪರಿಧಿ ಮತ್ತು ಗಡಿಯಾರಗಳನ್ನು ಒಳಗೊಂಡಿರುವ ಉನ್ನತ ಮಟ್ಟದ ಮೂಲ ವಿಭಾಗದ ಚೈಲ್ಡ್ ವಿಭಜನೆಯಾಗಿರಬೇಕು. SUPR ಪ್ರದೇಶವನ್ನು ಬದಲಾಯಿಸುವುದು SRAM ಆಬ್ಜೆಕ್ಟ್ ಅನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ File (.sof) ಅದು ಅಸ್ತಿತ್ವದಲ್ಲಿರುವ ಎಲ್ಲಾ ಕಂಪೈಲ್ ಮಾಡಲಾದ ರಾ ಬೈನರಿಗಳೊಂದಿಗೆ ಹೊಂದಿಕೊಳ್ಳುತ್ತದೆ File (.rbf) filePR ವಿಭಜನೆ C ಗಾಗಿ ರು.
  • C PR ವಿಭಾಗವು ಅನಿಯಂತ್ರಿತ ತರ್ಕವನ್ನು ಒಳಗೊಂಡಿರುತ್ತದೆ, ಅದು ನೀವು ರನ್‌ಟೈಮ್‌ನಲ್ಲಿ ಯಾವುದೇ ವಿನ್ಯಾಸ ತರ್ಕವನ್ನು ಹೊಂದುವ ಮತ್ತು ಸಂಕಲನದ ಸಮಯದಲ್ಲಿ ಟೈಮಿಂಗ್ ಮುಚ್ಚುವಿಕೆಯನ್ನು ಸಾಧಿಸುವ ಮೂಲಕ ರಿಪ್ರೊಗ್ರಾಮ್ ಮಾಡಬಹುದು.

1.4 ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವನ್ನು ಡೌನ್‌ಲೋಡ್ ಮಾಡಿ Files
ಈ ಕೆಳಗಿನ ಸ್ಥಳದಲ್ಲಿ ಭಾಗಶಃ ಮರುಸಂರಚನಾ ಟ್ಯುಟೋರಿಯಲ್ ಲಭ್ಯವಿದೆ: https://github.com/intel/fpga-partial-reconfig
ಟ್ಯುಟೋರಿಯಲ್ ಡೌನ್‌ಲೋಡ್ ಮಾಡಲು:

  1. ಕ್ಲೋನ್ ಕ್ಲಿಕ್ ಮಾಡಿ ಅಥವಾ ಡೌನ್‌ಲೋಡ್ ಮಾಡಿ.
  2. ಡೌನ್‌ಲೋಡ್ ZIP ಕ್ಲಿಕ್ ಮಾಡಿ. fpga-partial-reconfig-master.zip ಅನ್ನು ಅನ್ಜಿಪ್ ಮಾಡಿ file.
  3. ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವನ್ನು ಪ್ರವೇಶಿಸಲು ಟ್ಯುಟೋರಿಯಲ್/agilex_pcie_devkit_blinking_led_supr ಉಪಫೋಲ್ಡರ್‌ಗೆ ನ್ಯಾವಿಗೇಟ್ ಮಾಡಿ.
    ಫ್ಲಾಟ್ ಫೋಲ್ಡರ್ ಈ ಕೆಳಗಿನವುಗಳನ್ನು ಒಳಗೊಂಡಿದೆ files:
    ಕೋಷ್ಟಕ 1. ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ Files
File ಹೆಸರು ವಿವರಣೆ
ಮೇಲ್ಭಾಗ. ಎಸ್ ವಿ ಉನ್ನತ ಮಟ್ಟದ file ವಿನ್ಯಾಸದ ಸಮತಟ್ಟಾದ ಅನುಷ್ಠಾನವನ್ನು ಒಳಗೊಂಡಿರುತ್ತದೆ. ಈ ಮಾಡ್ಯೂಲ್ blinking_led ಉಪ-ವಿಭಾಗವನ್ನು ಮತ್ತು top_counter ಮಾಡ್ಯೂಲ್ ಅನ್ನು ತ್ವರಿತಗೊಳಿಸುತ್ತದೆ.
ಟಿ ಆಪ್_ಕೌಂಟರ್. ಎಸ್ ವಿ ಎಲ್ಇಡಿ [32] ಅನ್ನು ನೇರವಾಗಿ ನಿಯಂತ್ರಿಸುವ ಉನ್ನತ ಮಟ್ಟದ 1-ಬಿಟ್ ಕೌಂಟರ್. ಕೌಂಟರ್‌ನ ನೋಂದಾಯಿತ ಔಟ್‌ಪುಟ್ LED [0] ಅನ್ನು ನಿಯಂತ್ರಿಸುತ್ತದೆ ಮತ್ತು LED [2] ಮತ್ತು LED [3] ಅನ್ನು blinking_led ಮಾಡ್ಯೂಲ್ ಮೂಲಕ ನಿಯಂತ್ರಿಸುತ್ತದೆ.
ಮಿಟುಕಿಸುವುದು_ನೇತೃತ್ವ. sdc ಯೋಜನೆಗೆ ಸಮಯದ ನಿರ್ಬಂಧಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸುತ್ತದೆ.
ಕಣ್ಣು ಮಿಟುಕಿಸುವುದು. ಎಸ್ ವಿ ಈ ಟ್ಯುಟೋರಿಯಲ್ ನಲ್ಲಿ, ನೀವು ಈ ಮಾಡ್ಯೂಲ್ ಅನ್ನು ಪೋಷಕ PR ವಿಭಾಗವಾಗಿ ಪರಿವರ್ತಿಸುತ್ತೀರಿ. ಮಾಡ್ಯೂಲ್ LED [2] ಮತ್ತು LED [3] ಅನ್ನು ನಿಯಂತ್ರಿಸುವ top_counter ಮಾಡ್ಯೂಲ್‌ನ ನೋಂದಾಯಿತ ಔಟ್‌ಪುಟ್ ಅನ್ನು ಪಡೆಯುತ್ತದೆ.
blinking_led.qpf ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರಧಾನ ಯೋಜನೆ file ಯೋಜನೆಯಲ್ಲಿನ ಎಲ್ಲಾ ಪರಿಷ್ಕರಣೆಗಳ ಪಟ್ಟಿಯನ್ನು ಒಳಗೊಂಡಿದೆ.
ಮಿಟುಕಿಸುವುದು qs f ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸೆಟ್ಟಿಂಗ್‌ಗಳು file ಯೋಜನೆಗಾಗಿ ಕಾರ್ಯಯೋಜನೆಗಳು ಮತ್ತು ಸೆಟ್ಟಿಂಗ್‌ಗಳನ್ನು ಒಳಗೊಂಡಿದೆ.

ಗಮನಿಸಿ: ಸುಪರ್ ಫೋಲ್ಡರ್ ಸಂಪೂರ್ಣ ಸೆಟ್ ಅನ್ನು ಒಳಗೊಂಡಿದೆ fileಈ ಅಪ್ಲಿಕೇಶನ್ ಬಳಸಿ ನೀವು ರಚಿಸುತ್ತೀರಿ ಗಮನಿಸಿ. ಇವುಗಳನ್ನು ಉಲ್ಲೇಖಿಸಿ fileದರ್ಶನದ ಸಮಯದಲ್ಲಿ ಯಾವುದೇ ಹಂತದಲ್ಲಿ ರು.
1.5 ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ ದರ್ಶನ
ಕೆಳಗಿನ ಹಂತಗಳು ಸಮತಟ್ಟಾದ ವಿನ್ಯಾಸದೊಂದಿಗೆ SUPR ಅನುಷ್ಠಾನವನ್ನು ವಿವರಿಸುತ್ತದೆ:

  • ಹಂತ 1: ಪ್ರಾರಂಭಿಸುವುದು
  • ಹಂತ 2: ವಿನ್ಯಾಸ ವಿಭಾಗಗಳನ್ನು ರಚಿಸಿ
  • ಹಂತ 3: ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಮತ್ತು ರೂಟಿಂಗ್ ಪ್ರದೇಶಗಳನ್ನು ನಿಯೋಜಿಸಿ
  • ಹಂತ 4: ವ್ಯಕ್ತಿಗಳನ್ನು ವಿವರಿಸಿ
  • ಹಂತ 5: ಪರಿಷ್ಕರಣೆಗಳನ್ನು ರಚಿಸಿ
  • ಹಂತ 6: ಮೂಲ ಪರಿಷ್ಕರಣೆಯನ್ನು ಕಂಪೈಲ್ ಮಾಡಿ
  • ಹಂತ 7: PR ಇಂಪ್ಲಿಮೆಂಟೇಶನ್ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ಹೊಂದಿಸಿ
  • ಹಂತ 8: SUPR ಲಾಜಿಕ್ ಅನ್ನು ಬದಲಾಯಿಸಿ
  • ಹಂತ 9: ಬೋರ್ಡ್ ಅನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಿ

ಚಿತ್ರ 3. SUPR ಸಂಕಲನ ಹರಿವು

intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್ - ಫ್ಲೋ

1.5.1. ಹಂತ 1: ಪ್ರಾರಂಭಿಸುವುದು
ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವನ್ನು ನಕಲಿಸಲು fileನಿಮ್ಮ ಕೆಲಸದ ವಾತಾವರಣಕ್ಕೆ ರು ಮತ್ತು ಬ್ಲಿಂಕಿಂಗ್_ಲೆಡ್ ಫ್ಲಾಟ್ ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಿ:

  1. ನೀವು ಪ್ರಾರಂಭಿಸುವ ಮೊದಲು, ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವನ್ನು ಡೌನ್‌ಲೋಡ್ ಮಾಡಿ Fileಪುಟ 5 ರಲ್ಲಿ ರು.
  2. ನಿಮ್ಮ ಕಾರ್ಯ ಪರಿಸರದಲ್ಲಿ agilex_pcie_devkit_blinking_led_supr ಡೈರೆಕ್ಟರಿಯನ್ನು ರಚಿಸಿ.
  3. ಡೌನ್‌ಲೋಡ್ ಮಾಡಲಾದ ಟ್ಯುಟೋರಿಯಲ್‌ಗಳು/agilex_pcie_devkit_blinking_led/flat ಉಪ-ಫೋಲ್ಡರ್ ಅನ್ನು agilex_pcie_devkit_blinking_led_supr ಡೈರೆಕ್ಟರಿಗೆ ನಕಲಿಸಿ.
  4. Intel Quartus Prime Pro Edition ಸಾಫ್ಟ್‌ವೇರ್‌ನಲ್ಲಿ, ಕ್ಲಿಕ್ ಮಾಡಿ File ➤ ಪ್ರಾಜೆಕ್ಟ್ ತೆರೆಯಿರಿ ಮತ್ತು /flat/blinking_led.qpf ತೆರೆಯಿರಿ.
  5. ಮೂಲ ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು, ಸಂಸ್ಕರಣೆ ➤ ಸಂಕಲನವನ್ನು ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಸಂಕಲನ ಪೂರ್ಣಗೊಂಡಾಗ ಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಕ ವರದಿಗಳು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ತೆರೆದುಕೊಳ್ಳುತ್ತವೆ. ನೀವು ಇದೀಗ ಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಕವನ್ನು ಮುಚ್ಚಬಹುದು.

1.5.2. ಹಂತ 2: ವಿನ್ಯಾಸ ವಿಭಾಗಗಳನ್ನು ರಚಿಸಿ
ನೀವು ಭಾಗಶಃ ಮರುಸಂರಚಿಸಲು ಬಯಸುವ ಪ್ರತಿಯೊಂದು ಪ್ರದೇಶಕ್ಕೂ ವಿನ್ಯಾಸ ವಿಭಾಗಗಳನ್ನು ರಚಿಸಿ. ನಿಮ್ಮ ಪ್ರಾಜೆಕ್ಟ್‌ನಲ್ಲಿ ನೀವು ಯಾವುದೇ ಸಂಖ್ಯೆಯ ಸ್ವತಂತ್ರ ವಿಭಾಗಗಳು ಅಥವಾ PR ಪ್ರದೇಶಗಳನ್ನು ರಚಿಸಬಹುದು. u_blinking_led ನಿದರ್ಶನಕ್ಕಾಗಿ PR ವಿಭಾಗವಾಗಿ ಮತ್ತು u_top_counter ನಿದರ್ಶನಕ್ಕಾಗಿ SUPR ಪ್ರದೇಶವಾಗಿ ವಿನ್ಯಾಸ ವಿಭಾಗಗಳನ್ನು ರಚಿಸಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:

  1. ಪ್ರಾಜೆಕ್ಟ್ ನ್ಯಾವಿಗೇಟರ್‌ನಲ್ಲಿ u_blinking_led ನಿದರ್ಶನದ ಮೇಲೆ ಬಲ ಕ್ಲಿಕ್ ಮಾಡಿ ಮತ್ತು ವಿನ್ಯಾಸ ವಿಭಾಗವನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ
    ➤ ಮರುಸಂರಚಿಸಬಹುದು. ವಿಭಜನೆಯಾಗಿ ಹೊಂದಿಸಲಾದ ಪ್ರತಿಯೊಂದು ನಿದರ್ಶನದ ಪಕ್ಕದಲ್ಲಿ ವಿನ್ಯಾಸ ವಿಭಾಗದ ಐಕಾನ್ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
    ಚಿತ್ರ 4. ವಿನ್ಯಾಸ ವಿಭಾಗಗಳನ್ನು ರಚಿಸುವುದುintel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ - ವಿಭಾಗಗಳು
  2. u_top_counter ನಿದರ್ಶನಕ್ಕಾಗಿ ವಿಭಾಗವನ್ನು ರಚಿಸಲು ಹಂತ 1 ಅನ್ನು ಪುನರಾವರ್ತಿಸಿ.
  3. ನಿಯೋಜನೆಗಳು ➤ ವಿನ್ಯಾಸ ವಿಭಾಗಗಳ ವಿಂಡೋ ಕ್ಲಿಕ್ ಮಾಡಿ. ವಿಂಡೋವು ಯೋಜನೆಯಲ್ಲಿನ ಎಲ್ಲಾ ವಿನ್ಯಾಸ ವಿಭಾಗಗಳನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ.
    ಚಿತ್ರ 5. ವಿನ್ಯಾಸ ವಿಭಾಗಗಳ ವಿಂಡೋ
    intel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ - ವಿಂಡೋ
  4. pr_partition ಗೆ ಮರುಹೆಸರಿಸಲು blinking_led ವಿಭಜನಾ ಹೆಸರು ಸೆಲ್ ಅನ್ನು ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡಿ. ಹಾಗೆಯೇ, top_counter ವಿಭಾಗವನ್ನು supr_partition ಎಂದು ಮರುಹೆಸರಿಸಿ.
    ಪರ್ಯಾಯವಾಗಿ, blinking_led.qsf ಗೆ ಕೆಳಗಿನ ಸಾಲುಗಳನ್ನು ಸೇರಿಸುವುದು ಈ ವಿಭಾಗಗಳನ್ನು ರಚಿಸುತ್ತದೆ:
    set_instance_assignment -name PARTITION pr_partition \ -to u_blinking_led -entity top
    set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
    set_instance_assignment -name PARTITION supr_partition \ -to u_top_counter -entity top
    set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -entity top

1.5.3. ಹಂತ 3: ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಮತ್ತು ರೂಟಿಂಗ್ ಪ್ರದೇಶಗಳನ್ನು ನಿಯೋಜಿಸಿ
ನೀವು ರಚಿಸುವ ಪ್ರತಿಯೊಂದು ಮೂಲ ಪರಿಷ್ಕರಣೆಗಾಗಿ, ಕಂಪೈಲರ್ ಮೀಸಲು ಪ್ರದೇಶದಲ್ಲಿ ಅನುಗುಣವಾದ ಪರ್ಸನಾ ಕೋರ್ ಅನ್ನು ಇರಿಸಲು PR ವಿಭಜನಾ ಪ್ರದೇಶದ ಹಂಚಿಕೆಯನ್ನು ಬಳಸುತ್ತದೆ. ನಿಮ್ಮ ಮೂಲ ಪರಿಷ್ಕರಣೆಗಾಗಿ ಸಾಧನದ ಫ್ಲೋರ್‌ಪ್ಲಾನ್‌ನಲ್ಲಿ PR ಪ್ರದೇಶವನ್ನು ಪತ್ತೆಹಚ್ಚಲು ಮತ್ತು ನಿಯೋಜಿಸಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:

  1. ಪ್ರಾಜೆಕ್ಟ್ ನ್ಯಾವಿಗೇಟರ್ ಕ್ರಮಾನುಗತ ಟ್ಯಾಬ್‌ನಲ್ಲಿ, u_blinking_led ನಿದರ್ಶನದ ಮೇಲೆ ಬಲ ಕ್ಲಿಕ್ ಮಾಡಿ, ತದನಂತರ ಲಾಜಿಕ್ ಲಾಕ್ ಪ್ರದೇಶವನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ ➤ ಹೊಸ ಲಾಜಿಕ್ ಲಾಕ್ ಪ್ರದೇಶವನ್ನು ರಚಿಸಿ. ಪ್ರದೇಶವು ಲಾಜಿಕ್ ಲಾಕ್ ರೀಜನ್ಸ್ ವಿಂಡೋದಲ್ಲಿ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
  2. 5 ರ ಪ್ರದೇಶದ ಅಗಲ ಮತ್ತು 5 ರ ಎತ್ತರವನ್ನು ಸೂಚಿಸಿ.
  3. ಮೂಲ ಕಾಲಮ್‌ನಲ್ಲಿ u_blinking_led ಗಾಗಿ ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಪ್ರದೇಶದ ನಿರ್ದೇಶಾಂಕಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ. ಮೂಲವು ಪ್ರದೇಶದ ಕೆಳಗಿನ ಎಡ ಮೂಲೆಗೆ ಅನುರೂಪವಾಗಿದೆ. ಮೂಲವನ್ನು X166_Y199 ಎಂದು ಸೂಚಿಸಿ. ಕಂಪೈಲರ್ (X170 Y203) ಅನ್ನು ಮೇಲಿನ ಬಲ ನಿರ್ದೇಶಾಂಕವಾಗಿ ಲೆಕ್ಕಾಚಾರ ಮಾಡುತ್ತದೆ.
  4. ಪ್ರದೇಶಕ್ಕಾಗಿ ಕಾಯ್ದಿರಿಸಿದ ಮತ್ತು ಕೋರ್-ಮಾತ್ರ ಆಯ್ಕೆಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ.
  5. ರೂಟಿಂಗ್ ರೀಜನ್ ಆಯ್ಕೆಯನ್ನು ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡಿ. ಲಾಜಿಕ್ ಲಾಕ್ ರೂಟಿಂಗ್ ರೀಜನ್ ಸೆಟ್ಟಿಂಗ್ಸ್ ಡೈಲಾಗ್ ಬಾಕ್ಸ್ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
  6. ರೂಟಿಂಗ್ ಪ್ರಕಾರಕ್ಕಾಗಿ, ವಿಸ್ತರಣೆಯೊಂದಿಗೆ ಸ್ಥಿರ ಆಯ್ಕೆಮಾಡಿ. ಈ ಆಯ್ಕೆಯು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಒಂದು ವಿಸ್ತರಣೆಯ ಉದ್ದವನ್ನು ನಿಯೋಜಿಸುತ್ತದೆ.
  7. u_top_counter ವಿಭಾಗಕ್ಕಾಗಿ ಈ ಕೆಳಗಿನ ಸಂಪನ್ಮೂಲಗಳನ್ನು ನಿಯೋಜಿಸಲು ಹಿಂದಿನ ಹಂತಗಳನ್ನು ಪುನರಾವರ್ತಿಸಿ:
    • ಎತ್ತರ-5
    • ಅಗಲ-5
    • ಮೂಲ-X173_Y199
    • ರೂಟಿಂಗ್ ಪ್ರದೇಶ- ಒಂದು ವಿಸ್ತರಣೆಯ ಉದ್ದದೊಂದಿಗೆ ವಿಸ್ತರಣೆಯೊಂದಿಗೆ ಸ್ಥಿರವಾಗಿದೆ.
    • ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ-ಆನ್
    • ಕೋರ್-ಮಾತ್ರ-ಆನ್
    ಚಿತ್ರ 6. ಲಾಜಿಕ್ ಲಾಕ್ ರೀಜನ್ಸ್ ವಿಂಡೋ
    intel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ - ಪ್ರದೇಶಗಳ ವಿಂಡೋ
    ಗಮನಿಸಿ: ಕಂಪೈಲರ್‌ನ ರೂಟಿಂಗ್‌ಗೆ ಹೆಚ್ಚುವರಿ ನಮ್ಯತೆಯನ್ನು ಒದಗಿಸಲು ರೂಟಿಂಗ್ ಪ್ರದೇಶವು ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಪ್ರದೇಶಕ್ಕಿಂತ ದೊಡ್ಡದಾಗಿರಬೇಕುtage, ಕಂಪೈಲರ್ ವಿವಿಧ ವ್ಯಕ್ತಿಗಳನ್ನು ಮಾರ್ಗ ಮಾಡಿದಾಗ.
  8. ನಿಮ್ಮ ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಪ್ರದೇಶವು ಮಿಟುಕಿಸುವ_ಲೆಡ್ ಲಾಜಿಕ್ ಅನ್ನು ಒಳಗೊಂಡಿರಬೇಕು. ಚಿಪ್ ಪ್ಲಾನರ್‌ನಲ್ಲಿ ನೋಡ್ ಅನ್ನು ಪತ್ತೆ ಮಾಡುವ ಮೂಲಕ ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಪ್ರದೇಶವನ್ನು ಆಯ್ಕೆ ಮಾಡಲು, ಲಾಜಿಕ್ ಲಾಕ್ ರೀಜನ್ಸ್ ವಿಂಡೋದಲ್ಲಿ u_blinking_led ಪ್ರದೇಶದ ಹೆಸರನ್ನು ರೈಟ್-ಕ್ಲಿಕ್ ಮಾಡಿ, ತದನಂತರ ಲೊಕೇಟ್ ನೋಡ್ ➤ ಚಿಪ್ ಪ್ಲಾನರ್‌ನಲ್ಲಿ ಲೊಕೇಟ್ ಕ್ಲಿಕ್ ಮಾಡಿ.
  9.  ವಿಭಜನಾ ವರದಿಗಳ ಅಡಿಯಲ್ಲಿ, ವರದಿ ವಿನ್ಯಾಸ ವಿಭಾಗಗಳನ್ನು ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡಿ. ಚಿಪ್ ಪ್ಲಾನರ್ ಪ್ರದೇಶವನ್ನು ಹೈಲೈಟ್ ಮಾಡುತ್ತದೆ ಮತ್ತು ಬಣ್ಣ ಕೋಡ್ ಮಾಡುತ್ತದೆ.

ಚಿತ್ರ 7. blinking_led ಗಾಗಿ ಚಿಪ್ ಪ್ಲಾನರ್ ನೋಡ್ ಸ್ಥಳ
intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್ -blinking_ledಪರ್ಯಾಯವಾಗಿ, blinking_led.qsf ಗೆ ಕೆಳಗಿನ ಸಾಲುಗಳನ್ನು ಸೇರಿಸುವುದರಿಂದ ಈ ಪ್ರದೇಶಗಳನ್ನು ರಚಿಸುತ್ತದೆ:
set_instance_assignment -name PARTITION pr_partition -to \ u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition -to u_top_counter \ -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ಆನ್ -ಟು \ u_top_counter -entity top
set_instance_assignment -ಹೆಸರು PLACE_REGION “X166 Y199 X170 Y203” -to \ u_blinking_led
set_instance_assignment -ಹೆಸರು RESERVE_PLACE_REGION ಆನ್ -ಟು u_blinking_led
set_instance_assignment -ಹೆಸರು CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -ಹೆಸರು REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -ಹೆಸರು ROUTE_REGION “X165 Y198 X171 Y204” -to \ u_blinking_led
set_instance_assignment -ಹೆಸರು RESERVE_ROUTE_REGION ಆಫ್ -ಟು u_blinking_led
set_instance_assignment -ಹೆಸರು PLACE_REGION “X173 Y199 X177 Y203” -to \ u_top_counter
set_instance_assignment -ಹೆಸರು RESERVE_PLACE_REGION ಆನ್ -ಟು u_top_counter
set_instance_assignment -ಹೆಸರು CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -ಹೆಸರು REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -ಹೆಸರು ROUTE_REGION “X172 Y198 X178 Y204” -to \ u_top_counter
set_instance_assignment -ಹೆಸರು RESERVE_ROUTE_REGION ಆಫ್ -ಟು u_top_counter
1.5.4. ಹಂತ 4: ವ್ಯಕ್ತಿಗಳನ್ನು ವಿವರಿಸಿ

ಈ ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವು ಏಕ PR ವಿಭಾಗಕ್ಕೆ ಮೂರು ಪ್ರತ್ಯೇಕ ವ್ಯಕ್ತಿಗಳನ್ನು ಮತ್ತು SUPR ಪ್ರದೇಶಕ್ಕೆ ಒಂದು SUPR ವ್ಯಕ್ತಿತ್ವವನ್ನು ವ್ಯಾಖ್ಯಾನಿಸುತ್ತದೆ. ನಿಮ್ಮ ಯೋಜನೆಯಲ್ಲಿ ಈ ವ್ಯಕ್ತಿಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸಲು ಮತ್ತು ಸೇರಿಸಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಟೆಕ್ಸ್ಟ್ ಎಡಿಟರ್ ಅನ್ನು ಬಳಸುತ್ತಿದ್ದರೆ, ಆಡ್ ಅನ್ನು ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಿ file
ಉಳಿಸುವಾಗ ಪ್ರಸ್ತುತ ಯೋಜನೆಗೆ files.

  1. ಹೊಸ blinking_led_slow.sv, blinking_led_empty.sv, ಮತ್ತು top_counter_fast.sv SystemVerilog ಅನ್ನು ರಚಿಸಿ fileನಿಮ್ಮ ಕೆಲಸದ ಡೈರೆಕ್ಟರಿಯಲ್ಲಿ ರು. ಕೆಲಸ ಮಾಡುವ ಡೈರೆಕ್ಟರಿಯಲ್ಲಿ blinking_led.sv ಈಗಾಗಲೇ ಇದೆ ಎಂದು ಖಚಿತಪಡಿಸಿ.
  2.  SystemVerilog ಗಾಗಿ ಈ ಕೆಳಗಿನ ವಿಷಯಗಳನ್ನು ನಮೂದಿಸಿ files:
    ಕೋಷ್ಟಕ 2. ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ ವ್ಯಕ್ತಿಗಳ ಸಿಸ್ಟಮ್ ವೆರಿಲಾಗ್
    File ಹೆಸರು ವಿವರಣೆ ಕೋಡ್
    ಮಿಟುಕಿಸುವುದು_ ನಿಧಾನ. ಎಸ್ ವಿ ಎಲ್ಇಡಿಗಳು ನಿಧಾನವಾಗಿ ಮಿಟುಕಿಸುತ್ತವೆ ಟೈಮ್‌ಸ್ಕೇಲ್ 1 ಪಿಎಸ್ / 1 ಪಿಎಸ್ 'ಡೀಫಾಲ್ಟ್_ನೆಟ್ಟೈಪ್ ಯಾವುದೂ ಇಲ್ಲ
    ಮಾಡ್ಯೂಲ್ blinking_led_slow // ಗಡಿಯಾರ
    ಇನ್‌ಪುಟ್ ವೈರ್ ಗಡಿಯಾರ, ಇನ್‌ಪುಟ್ ವೈರ್ ರೀಸೆಟ್, ಇನ್‌ಪುಟ್ ವೈರ್ [31:01 ಕೌಂಟರ್,
    // ಎಲ್ಇಡಿ ಔಟ್ಪುಟ್ ವೈರ್ led_two_on ಗಾಗಿ ನಿಯಂತ್ರಣ ಸಂಕೇತಗಳು,
    ಔಟ್‌ಪುಟ್ ವೈರ್ led_three_on localparam COUNTER_TAP = 27;
    ರೆಗ್ led_two_on_r; ಲೆಗ್ led_three_on_r; led_two_on = led_two_on_r ಅನ್ನು ನಿಯೋಜಿಸಿ; led_three_on = led_three_on_r ಅನ್ನು ನಿಯೋಜಿಸಿ; ಯಾವಾಗಲೂ_ff @(ಪೋಸ್ಡ್ಜ್ ಗಡಿಯಾರ) led_two_on_r <= ಕೌಂಟರ್[COUNTER_TAP] ಅನ್ನು ಪ್ರಾರಂಭಿಸಿ; led_three_on_r <= ಕೌಂಟರ್[COUNTER_TAP]; ಅಂತಿಮ ಎಂಡ್ ಮಾಡ್ಯೂಲ್
    ಮಿಟುಕಿಸುವ_ಲೆಡ್_ಖಾಲಿ. ಎಸ್ ವಿ ಎಲ್ಇಡಿಗಳು ಆನ್ ಆಗಿರುತ್ತವೆ timescale 1 ps / 1 ps 'default_nettype none ಮಾಡ್ಯೂಲ್ blinking_led_empty( // ಗಡಿಯಾರ ಇನ್‌ಪುಟ್ ವೈರ್ ಗಡಿಯಾರ, ಇನ್‌ಪುಟ್ ವೈರ್ ರೀಸೆಟ್, ಇನ್‌ಪುಟ್ ವೈರ್ [31:01 ಕೌಂಟರ್, // LEC ಗಾಗಿ ನಿಯಂತ್ರಣ ಸಂಕೇತಗಳು- ಔಟ್‌ಪುಟ್ ವೈರ್ led_two_on, ಔಟ್‌ಪುಟ್ ವೈರ್ led_three_on
    ಮುಂದುವರೆಯಿತು…
    File ಹೆಸರು ವಿವರಣೆ ಕೋಡ್
    // ಎಲ್ಇಡಿ ಸಕ್ರಿಯವಾಗಿದೆ ಕಡಿಮೆ ನಿಯೋಜಿಸಿ led_two_on = l'IDO; led_three_on = 11b0 ಅನ್ನು ನಿಯೋಜಿಸಿ; ಎಂಡ್ ಮಾಡ್ಯೂಲ್
    top_counter_fast.sv ಎರಡನೇ SUPR 'ಟೈಮ್ಸ್ಕೇಲ್ 1 ಪಿಎಸ್ / 1 ಪಿಎಸ್
    ವ್ಯಕ್ತಿತ್ವ Thdefault_nettype none ಮಾಡ್ಯೂಲ್ top_counter_fast
    // ಎಲ್‌ಇಡಿ ಔಟ್‌ಪುಟ್ ವೈರ್ led_one_on, ಔಟ್‌ಪುಟ್ ವೈರ್ [31:0] ಎಣಿಕೆ, // ಗಡಿಯಾರ ಇನ್‌ಪುಟ್ ವೈರ್ ಗಡಿಯಾರಕ್ಕಾಗಿ ನಿಯಂತ್ರಣ ಸಂಕೇತಗಳು
    ); ಲೋಕಲ್‌ಪರಮ್ ಕೌಂಟರ್ ಟ್ಯಾಪ್ = 23; ರೆಗ್ [31:0] ಕೌಂಟ್_ಡಿ; ಎಣಿಕೆ ನಿಯೋಜಿಸಿ = count_d; led_one_on = ount_d[COUNTER_TAP] ನಿಯೋಜಿಸಿ; ಯಾವಾಗಲೂ_ff @(ಪೋಸ್ಡ್ಜ್ ಗಡಿಯಾರ) ಪ್ರಾರಂಭವಾಗುತ್ತದೆ ಕೌಂಟ್_ಡಿ <= ಕೌಂಟ್_ಡಿ + 2; ಅಂತ್ಯ
    .:ಘಟಕ
  3.  ಕ್ಲಿಕ್ ಮಾಡಿ File ➤ ಹೀಗೆ ಉಳಿಸಿ ಮತ್ತು .sv ಅನ್ನು ಉಳಿಸಿ fileಪ್ರಸ್ತುತ ಪ್ರಾಜೆಕ್ಟ್ ಡೈರೆಕ್ಟರಿಯಲ್ಲಿ ರು.

1.5.5. ಹಂತ 5: ಪರಿಷ್ಕರಣೆಗಳನ್ನು ರಚಿಸಿ
PR ವಿನ್ಯಾಸದ ಹರಿವು ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್‌ವೇರ್‌ನಲ್ಲಿ ಪ್ರಾಜೆಕ್ಟ್ ಪರಿಷ್ಕರಣೆ ವೈಶಿಷ್ಟ್ಯವನ್ನು ಬಳಸುತ್ತದೆ. ನಿಮ್ಮ ಆರಂಭಿಕ ವಿನ್ಯಾಸವು ಮೂಲ ಪರಿಷ್ಕರಣೆಯಾಗಿದೆ, ಅಲ್ಲಿ ನೀವು FPGA ನಲ್ಲಿ ಸ್ಥಿರ ಪ್ರದೇಶದ ಗಡಿಗಳು ಮತ್ತು ಮರುಸಂರಚಿಸುವ ಪ್ರದೇಶಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸುತ್ತೀರಿ. ಮೂಲ ಪರಿಷ್ಕರಣೆಯಿಂದ, ನೀವು ಹೆಚ್ಚುವರಿ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ರಚಿಸುತ್ತೀರಿ. ಈ ಪರಿಷ್ಕರಣೆಗಳು PR ಪ್ರದೇಶಗಳಿಗೆ ವಿವಿಧ ಅನುಷ್ಠಾನಗಳನ್ನು ಒಳಗೊಂಡಿವೆ. ಆದಾಗ್ಯೂ, ಎಲ್ಲಾ PR ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಳು ಮೂಲ ಪರಿಷ್ಕರಣೆಯಿಂದ ಅದೇ ಉನ್ನತ ಮಟ್ಟದ ನಿಯೋಜನೆ ಮತ್ತು ರೂಟಿಂಗ್ ಫಲಿತಾಂಶಗಳನ್ನು ಬಳಸುತ್ತವೆ. PR ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು, ನೀವು ಪ್ರತಿ ವ್ಯಕ್ತಿಗೆ PR ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಯನ್ನು ರಚಿಸುತ್ತೀರಿ. ಹೆಚ್ಚುವರಿಯಾಗಿ, ನೀವು ಪ್ರತಿ ಪರಿಷ್ಕರಣೆಗಳಿಗೆ ಭಾಗಶಃ ಮರುಸಂರಚನೆ - ಬೇಸ್ ಅಥವಾ ಭಾಗಶಃ ಮರುಸಂರಚನೆ - ಪರ್ಸೋನಾ ಇಂಪ್ಲಿಮೆಂಟೇಶನ್ ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರವನ್ನು ನಿಯೋಜಿಸಬೇಕು. ಕೆಳಗಿನ ಕೋಷ್ಟಕವು ಪರಿಷ್ಕರಣೆ ಹೆಸರು ಮತ್ತು ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರವನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ. impl_blinking_led_supr_new.qsf ಪರಿಷ್ಕರಣೆಯು SUPR ವೈಯಕ್ತಿಕ ಅನುಷ್ಠಾನವಾಗಿದೆ.
ಕೋಷ್ಟಕ 3. ಪರಿಷ್ಕರಣೆ ಹೆಸರುಗಳು ಮತ್ತು ವಿಧಗಳು

ಪರಿಷ್ಕರಣೆ ಹೆಸರು ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರ
ಮಿಟುಕಿಸುವುದು_ನೇತೃತ್ವ ಭಾಗಶಃ ಪುನರ್ರಚನೆ - ಬೇಸ್
blinking_led_default ಭಾಗಶಃ ಪುನರ್ವಿನ್ಯಾಸ - ವೈಯಕ್ತಿಕ ಅನುಷ್ಠಾನ
ಮಿಟುಕಿಸುವುದು_ ನಿಧಾನ ಭಾಗಶಃ ಪುನರ್ವಿನ್ಯಾಸ - ವೈಯಕ್ತಿಕ ಅನುಷ್ಠಾನ
ಮಿಟುಕಿಸುವ_ಲೆಡ್_ಖಾಲಿ ಭಾಗಶಃ ಪುನರ್ವಿನ್ಯಾಸ - ವೈಯಕ್ತಿಕ ಅನುಷ್ಠಾನ
impl_blinking_led_supr_new ಭಾಗಶಃ ಪುನರ್ವಿನ್ಯಾಸ - ವೈಯಕ್ತಿಕ ಅನುಷ್ಠಾನ

1.5.5.1. ಮೂಲ ಪರಿಷ್ಕರಣೆ ಹೊಂದಿಸಲಾಗುತ್ತಿದೆ
blinking_led ಅನ್ನು ಬೇಸ್ ಪರಿಷ್ಕರಣೆಯಾಗಿ ಹೊಂದಿಸಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:

  1. ಪ್ರಾಜೆಕ್ಟ್ ➤ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ.
  2. ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರಕ್ಕಾಗಿ, ಭಾಗಶಃ ಮರುಸಂರಚನೆಯನ್ನು ಆಯ್ಕೆಮಾಡಿ - ಬೇಸ್.

intel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ - ಪರಿಷ್ಕರಣೆಗಳುಈ ಹಂತವು blinking_led.qsf ಗೆ ಕೆಳಗಿನವುಗಳನ್ನು ಸೇರಿಸುತ್ತದೆ:
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
1.5.5.2. ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ರಚಿಸುವುದು
ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ರಚಿಸಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:

  1. ಪರಿಷ್ಕರಣೆಗಳ ಸಂವಾದ ಪೆಟ್ಟಿಗೆಯಲ್ಲಿ, < ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡಿ >.
  2. ಪರಿಷ್ಕರಣೆ ಹೆಸರಿನಲ್ಲಿ, blinking_led_default ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ ಮತ್ತು ಪರಿಷ್ಕರಣೆ ಆಧಾರದ ಮೇಲೆ blinking_led ಆಯ್ಕೆಮಾಡಿ.
  3. ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರಕ್ಕಾಗಿ, ಆಂಶಿಕ ಮರುಸಂರಚನೆಯನ್ನು ಆಯ್ಕೆ ಮಾಡಿ - ಪರ್ಸೋನಾ ಇಂಪ್ಲಿಮೆಂಟೇಶನ್.
  4. ಪ್ರಸ್ತುತ ಪರಿಷ್ಕರಣೆ ಆಯ್ಕೆಯಾಗಿ ಹೊಂದಿಸುವಿಕೆಯನ್ನು ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಿ.
  5. ಇತರ ಅನುಷ್ಠಾನ ಪರಿಷ್ಕರಣೆಗಳಿಗಾಗಿ ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರವನ್ನು ಹೊಂದಿಸಲು 2 ರಿಂದ 5 ಹಂತಗಳನ್ನು ಪುನರಾವರ್ತಿಸಿ:
ಪರಿಷ್ಕರಣೆ ಹೆಸರು ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರ Revisio ಆಧರಿಸಿn
ಮಿಟುಕಿಸುವುದು_ ನಿಧಾನ ಭಾಗಶಃ ಪುನರ್ವಿನ್ಯಾಸ - ವೈಯಕ್ತಿಕ ಅನುಷ್ಠಾನ ಮಿಟುಕಿಸುವುದು_ನೇತೃತ್ವ
ಮಿಟುಕಿಸುವ_ಲೆಡ್_ಖಾಲಿ ಭಾಗಶಃ ಪುನರ್ವಿನ್ಯಾಸ - ವೈಯಕ್ತಿಕ ಅನುಷ್ಠಾನ ಮಿಟುಕಿಸುವುದು_ನೇತೃತ್ವ
impl_blinking_led_supr_new ಭಾಗಶಃ ಪುನರ್ವಿನ್ಯಾಸ - ವೈಯಕ್ತಿಕ ಅನುಷ್ಠಾನ ಮಿಟುಕಿಸುವುದು_ನೇತೃತ್ವ

ಚಿತ್ರ 8. ಅನುಷ್ಠಾನ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ರಚಿಸುವುದು

intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್ - ಇಂಪ್ಲಿಮೆಂಟೇಶನ್ ಪರಿಷ್ಕರಣೆಗಳುಪ್ರತಿ .qsf file ಈಗ ಈ ಕೆಳಗಿನ ನಿಯೋಜನೆಯನ್ನು ಒಳಗೊಂಡಿದೆ:
set_global_assignment -ಹೆಸರು REVISION_TYPE PR_IMPL
set_instance_assignment -ಹೆಸರು ENTITY_REBINDING place_holder -to u_top_counter
set_instance_assignment -ಹೆಸರು ENTITY_REBINDING place_holder -to u_blinking_led
1.5.6. ಹಂತ 6: ಮೂಲ ಪರಿಷ್ಕರಣೆಯನ್ನು ಕಂಪೈಲ್ ಮಾಡಿ
ಮೂಲ ಪರಿಷ್ಕರಣೆಯನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ ಮತ್ತು ಹೊಸ PR ವ್ಯಕ್ತಿಗಳಿಗಾಗಿ ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಳಲ್ಲಿ ನಂತರದ ಬಳಕೆಗಾಗಿ ಸ್ಥಿರ ಮತ್ತು SUPR ಪ್ರದೇಶಗಳನ್ನು ರಫ್ತು ಮಾಡಿ:

  1. ಈಗಾಗಲೇ ಹೊಂದಿಸದಿದ್ದರೆ ಪ್ರಸ್ತುತ ಪರಿಷ್ಕರಣೆಯಂತೆ blinking_led ಹೊಂದಿಸಿ.
  2. ಡಿಸೈನ್ ವಿಭಾಗಗಳ ವಿಂಡೋದಲ್ಲಿ, ಬಲದ ಬಲ ಕಾಲಮ್ ಪಕ್ಕದಲ್ಲಿರುವ (...) ಕ್ಲಿಕ್ ಮಾಡಿ ಮತ್ತು ಪೋಸ್ಟ್ ಫೈನಲ್ ರಫ್ತು ಸಕ್ರಿಯಗೊಳಿಸಿ File ಕಾಲಮ್. ನೀವು ಕಾಲಮ್‌ಗಳ ಕ್ರಮವನ್ನು ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಬಹುದು ಅಥವಾ ಬದಲಾಯಿಸಬಹುದು.
  3. ಪ್ರತಿ ಸಂಕಲನದ ನಂತರ PR ಅನುಷ್ಠಾನ ವಿನ್ಯಾಸ ವಿಭಾಗಗಳ ಅಂತಿಮ ಸ್ನ್ಯಾಪ್‌ಶಾಟ್ ಅನ್ನು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ರಫ್ತು ಮಾಡಲು, ಪೋಸ್ಟ್ ಫೈನಲ್ ರಫ್ತುಗಾಗಿ ಈ ಕೆಳಗಿನವುಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ File ರೂಟ್ ಮತ್ತು SUPR ವಿಭಾಗಗಳಿಗೆ ಆಯ್ಕೆಗಳು. ದಿ .qdb fileಪೂರ್ವನಿಯೋಜಿತವಾಗಿ ಯೋಜನೆಯ ಡೈರೆಕ್ಟರಿಗೆ ರಫ್ತು.
    • root_partition-blinking_led_static.qdb
    • supr_partition—blinking_led_supr_partition_final.qdb
    ಚಿತ್ರ 9. ವಿನ್ಯಾಸ ವಿಭಾಗಗಳ ವಿಂಡೋದಲ್ಲಿ ಸ್ವಯಂ ರಫ್ತುintel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ - ವಿಭಾಗಗಳ ವಿಂಡೋಪರ್ಯಾಯವಾಗಿ, ಕೆಳಗಿನ .qsf ಕಾರ್ಯಯೋಜನೆಯು ಪ್ರತಿ ಸಂಕಲನದ ನಂತರ ವಿಭಾಗಗಳನ್ನು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ರಫ್ತು ಮಾಡುತ್ತದೆ:
    set_instance_assignment -ಹೆಸರು EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | -ಎಂಟಿಟಿ ಟಾಪ್
    set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity top
  4. Blinking_led ಬೇಸ್ ಪರಿಷ್ಕರಣೆ ಕಂಪೈಲ್ ಮಾಡಲು, ಪ್ರಕ್ರಿಯೆಗೊಳಿಸುವಿಕೆ ➤ ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ
    ಸಂಕಲನ. ಪರ್ಯಾಯವಾಗಿ, ಈ ಪರಿಷ್ಕರಣೆಯನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ನೀವು ಈ ಕೆಳಗಿನ ಆಜ್ಞೆಯನ್ನು ಬಳಸಬಹುದು:
    quartus_sh –flow ಕಂಪೈಲ್ blinking_led -c blinking_led ಯಶಸ್ವಿ ಸಂಕಲನದ ನಂತರ, ಈ ಕೆಳಗಿನವುಗಳು fileಗಳು ಪ್ರಾಜೆಕ್ಟ್ ಡೈರೆಕ್ಟರಿಯಲ್ಲಿ ಗೋಚರಿಸುತ್ತವೆ:
    • blinking_led.sof
    • blinking_led.pr_partition.rbf
    • blinking_led.supr_partition.rbf
    • blinking_led_static.qdb
    • blinking_led_supr_partition_final.qdb

1.5.7. ಹಂತ 7: PR ಇಂಪ್ಲಿಮೆಂಟೇಶನ್ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ಹೊಂದಿಸಿ
ಸಾಧನ ಪ್ರೋಗ್ರಾಮಿಂಗ್‌ಗಾಗಿ ನೀವು PR ಬಿಟ್‌ಸ್ಟ್ರೀಮ್ ಅನ್ನು ರಚಿಸುವ ಮೊದಲು ನೀವು PR ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ಸಿದ್ಧಪಡಿಸಬೇಕು. ಈ ಸೆಟಪ್ ಸ್ಥಿರ ಪ್ರದೇಶ .qdb ಅನ್ನು ಸೇರಿಸುವುದನ್ನು ಒಳಗೊಂಡಿರುತ್ತದೆ file ಮೂಲವಾಗಿ file ಪ್ರತಿ ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಾಗಿ. ಹೆಚ್ಚುವರಿಯಾಗಿ, ನೀವು ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕು
PR ಪ್ರದೇಶದ ಅನುಗುಣವಾದ ಘಟಕ. PR ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ಹೊಂದಿಸಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:

  1.  ಪ್ರಸ್ತುತ ಪರಿಷ್ಕರಣೆ ಹೊಂದಿಸಲು, ಪ್ರಾಜೆಕ್ಟ್ ➤ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ, ಪರಿಷ್ಕರಣೆ ಹೆಸರಾಗಿ blinking_led_default ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಿ, ತದನಂತರ ಪ್ರಸ್ತುತ ಹೊಂದಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪರ್ಯಾಯವಾಗಿ, ನೀವು ಮುಖ್ಯ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಟೂಲ್‌ಬಾರ್‌ನಲ್ಲಿ ಪ್ರಸ್ತುತ ಪರಿಷ್ಕರಣೆಯನ್ನು ಆಯ್ಕೆ ಮಾಡಬಹುದು.
  2. ಈ ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಾಗಿ ಸರಿಯಾದ ಮೂಲವನ್ನು ಪರಿಶೀಲಿಸಲು, ಪ್ರಾಜೆಕ್ಟ್ ➤ ಸೇರಿಸು/ತೆಗೆದುಹಾಕು ಕ್ಲಿಕ್ ಮಾಡಿ Fileಯೋಜನೆಯಲ್ಲಿ ರು. blinking_led.sv ಎಂಬುದನ್ನು ದೃಢೀಕರಿಸಿ file ನಲ್ಲಿ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ file ಪಟ್ಟಿ.intel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ - ವಿಭಾಗಗಳ ವಿಂಡೋ 1
  3. ಸರಿಯಾದ ಮೂಲವನ್ನು ಪರಿಶೀಲಿಸಲು file ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಳಿಗಾಗಿ, ಪ್ರಾಜೆಕ್ಟ್ ➤ ಸೇರಿಸು/ತೆಗೆದುಹಾಕು ಕ್ಲಿಕ್ ಮಾಡಿ fileಯೋಜನೆಯಲ್ಲಿ ರು, ಮತ್ತು ಕೆಳಗಿನ ಮೂಲವನ್ನು ಸೇರಿಸಿ fileಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಳಿಗಾಗಿ ರು. ಇದ್ದರೆ, ಯೋಜನೆಯ ಪಟ್ಟಿಯಿಂದ blinking_led.sv ತೆಗೆದುಹಾಕಿ files.
    Iಪರಿಷ್ಕರಣೆ ಹೆಸರು ಮೂಲ File
    ಮಿಟುಕಿಸುವ_ಲೆಡ್_ಖಾಲಿ blinking_led_empty.sv
    ಮಿಟುಕಿಸುವುದು_ ನಿಧಾನ blinking_led_slow.sv
  4. blinking_led_default ಅನ್ನು ಪ್ರಸ್ತುತ ಪರಿಷ್ಕರಣೆಯಂತೆ ಹೊಂದಿಸಿ.
  5. .qdb ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು file ರೂಟ್_ಪಾರ್ಟಿಷನ್‌ಗೆ ಮೂಲವಾಗಿ, ನಿಯೋಜನೆಗಳು ➤ ವಿನ್ಯಾಸ ವಿಭಾಗಗಳ ವಿಂಡೋ ಕ್ಲಿಕ್ ಮಾಡಿ. ವಿಭಜನಾ ಡೇಟಾಬೇಸ್ ಅನ್ನು ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡಿ File ಸೆಲ್ ಮತ್ತು blinking_led_static.qdb ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ file.
  6. ಅಂತೆಯೇ, ವಿಭಜನಾ ಡೇಟಾಬೇಸ್ ಆಗಿ blinking_led_supr_partition_final.qdb ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ File supr_partition ಗಾಗಿ.

    ಚಿತ್ರ 10.intel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ - ಸೂಚಿಸಿಪರ್ಯಾಯವಾಗಿ, .qdb ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ಕೆಳಗಿನ .qsf ಕಾರ್ಯಯೋಜನೆಗಳನ್ನು ಬಳಸಿ:
    set_instance_assignment -ಹೆಸರು QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
    set_instance_assignment -ಹೆಸರು QDB_FILE_PARTITION \ blinking_led_supr_partition_final.qdb -to u_top_counter

  7. ವಿನ್ಯಾಸ ವಿಭಾಗಗಳ ವಿಂಡೋದಲ್ಲಿ, ಬಲದ ಬಲ ಕಾಲಮ್‌ನ ಪಕ್ಕದಲ್ಲಿರುವ (...) ಅನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ ಮತ್ತು ಎಂಟಿಟಿ ರೀ-ಬೈಂಡಿಂಗ್ ಕಾಲಮ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ.
  8.  ಎಂಟಿಟಿ ರೀ-ಬೈಂಡಿಂಗ್ ಸೆಲ್‌ನಲ್ಲಿ, ಪ್ರಸ್ತುತ ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಯಲ್ಲಿ ನೀವು ಬದಲಾಯಿಸುತ್ತಿರುವ PR ವಿಭಾಗಕ್ಕೆ ಹೊಸ ಘಟಕದ ಹೆಸರನ್ನು ಸೂಚಿಸಿ. blinking_led_default ಅನುಷ್ಠಾನ ಪರಿಷ್ಕರಣೆಗಾಗಿ, ಘಟಕದ ಹೆಸರು blinking_led ಆಗಿದೆ. ಈ ಸಂದರ್ಭದಲ್ಲಿ, ನೀವು ಹೊಸ ಘಟಕದ blinking_led ಜೊತೆಗೆ ಮೂಲ ಪರಿಷ್ಕರಣೆ ಕಂಪೈಲ್‌ನಿಂದ u_blinking_led ನಿದರ್ಶನವನ್ನು ಮೇಲ್ಬರಹ ಮಾಡುತ್ತಿರುವಿರಿ. ಇತರ ಅನುಷ್ಠಾನ ಪರಿಷ್ಕರಣೆಗಳಿಗಾಗಿ, ಕೆಳಗಿನ ಕೋಷ್ಟಕವನ್ನು ನೋಡಿ:

    ಪರಿಷ್ಕರಣೆ ಎಂಟಿಟಿ ರೀ-ಬೈಂಡಿಂಗ್ ಮೌಲ್ಯ
    ಮಿಟುಕಿಸುವುದು_ ನಿಧಾನ ಮಿಟುಕಿಸುವುದು_ ನಿಧಾನ
    ಮಿಟುಕಿಸುವ_ಲೆಡ್_ಖಾಲಿ ಮಿಟುಕಿಸುವ_ಲೆಡ್_ಖಾಲಿ

    ಚಿತ್ರ 11. ಎಂಟಿಟಿ ರಿಬೈಂಡಿಂಗ್intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್ - ರಿಬೈಂಡಿಂಗ್ಪರ್ಯಾಯವಾಗಿ, ನಿಯೋಜನೆಗಳನ್ನು ಹೊಂದಿಸಲು ನೀವು ಪ್ರತಿ ಪರಿಷ್ಕರಣೆಯ .qsf ನಲ್ಲಿ ಈ ಕೆಳಗಿನ ಸಾಲುಗಳನ್ನು ಬಳಸಬಹುದು:
    ##ಬ್ಲಿಂಕಿಂಗ್_ಲೆಡ್_ಡೀಫಾಲ್ಟ್.qsf
    set_instance_assignment -ಹೆಸರು ENTITY_REBINDING blinking_led \ -to u_blinking_led
    ##ಬ್ಲಿಂಕಿಂಗ್_ಲೆಡ್_ಸ್ಲೋ.qsf
    set_instance_assignment -ಹೆಸರು ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
    ##ಬ್ಲಿಂಕಿಂಗ್_ಲೀಡ್_ಖಾಲಿ.qsf
    set_instance_assignment -ಹೆಸರು ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led

  9. supr_partition ಗಾಗಿ ಎಂಟಿಟಿ ರೀ-ಬೈಂಡಿಂಗ್ ಸೆಲ್‌ನಿಂದ place_holder ಪಠ್ಯವನ್ನು ಅಳಿಸಿ.
  10. ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು, ಸಂಸ್ಕರಣೆ ➤ ಸಂಕಲನವನ್ನು ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪರ್ಯಾಯವಾಗಿ, ಈ ಯೋಜನೆಯನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಈ ಕೆಳಗಿನ ಆಜ್ಞೆಯನ್ನು ಬಳಸಿ: quartus_sh –flow ಕಂಪೈಲ್ blinking_led –c blinking_led_default
  11. blinking_led_slow ಮತ್ತು blinking_led_empty ಅನುಷ್ಠಾನ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ತಯಾರಿಸಲು ಮತ್ತು ಕಂಪೈಲ್ ಮಾಡಲು 4 ರಿಂದ 11 ಹಂತಗಳನ್ನು ಪುನರಾವರ್ತಿಸಿ.

1.5.8. ಹಂತ 8: SUPR ಲಾಜಿಕ್ ಅನ್ನು ಬದಲಾಯಿಸಿ
SUPR ವಿಭಾಗದೊಳಗೆ ತರ್ಕದ ಕಾರ್ಯವನ್ನು ಬದಲಾಯಿಸಲು, ನೀವು SUPR ವಿಭಾಗದ ಮೂಲವನ್ನು ಬದಲಾಯಿಸಬೇಕು. SUPR ವಿಭಾಗದಲ್ಲಿ u_top_counter ನಿದರ್ಶನವನ್ನು top_counter_fast ಘಟಕದೊಂದಿಗೆ ಬದಲಾಯಿಸಲು ಕೆಳಗಿನ ಹಂತಗಳನ್ನು ಪೂರ್ಣಗೊಳಿಸಿ.

  1. SUPR ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಯನ್ನು ಪ್ರಸ್ತುತವಾಗಿ ಹೊಂದಿಸಲು, ಪ್ರಾಜೆಕ್ಟ್ ➤ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ ಮತ್ತು ಪ್ರಸ್ತುತ ಪರಿಷ್ಕರಣೆಯಾಗಿ impl_blinking_led_supr_new ಅನ್ನು ಹೊಂದಿಸಿ ಅಥವಾ ಆಯ್ಕೆಮಾಡಿ
    ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಮುಖ್ಯ ಟೂಲ್‌ಬಾರ್‌ನಲ್ಲಿ ಪರಿಷ್ಕರಣೆ.
  2. ಸರಿಯಾದ ಮೂಲವನ್ನು ಪರಿಶೀಲಿಸಲು file ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಾಗಿ, ಪ್ರಾಜೆಕ್ಟ್ ➤ ಕ್ಲಿಕ್ ಮಾಡಿ
    ಸೇರಿಸಿ/ತೆಗೆದುಹಾಕಿ fileಪ್ರಾಜೆಕ್ಟ್‌ನಲ್ಲಿದೆ, ಮತ್ತು top_counter_fast.sv ಇಂಪ್ಲ್_ಬ್ಲಿಂಕಿಂಗ್_led_supr_new ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗೆ ಮೂಲವಾಗಿದೆ ಎಂದು ಪರಿಶೀಲಿಸಿ. ಇದ್ದರೆ, ಯೋಜನೆಯ ಪಟ್ಟಿಯಿಂದ top_counter.sv ತೆಗೆದುಹಾಕಿ files.intel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ - ನಿಯೋಜನೆಗಳು
  3. .qdb ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು file ಮೂಲ ವಿಭಜನೆಯೊಂದಿಗೆ ಸಂಯೋಜಿತವಾಗಿದೆ, ನಿಯೋಜನೆಗಳು ➤ ವಿನ್ಯಾಸ ವಿಭಾಗಗಳ ವಿಂಡೋವನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ, ತದನಂತರ ವಿಭಜನಾ ಡೇಟಾಬೇಸ್ ಅನ್ನು ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡಿ File blinking_led_static.qdb ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ಸೆಲ್.
    ಪರ್ಯಾಯವಾಗಿ, ಇದನ್ನು ನಿಯೋಜಿಸಲು ಕೆಳಗಿನ ಆಜ್ಞೆಯನ್ನು ಬಳಸಿ file: set_instance_assignment -ಹೆಸರು QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
  4. pr_partition ಗಾಗಿ ಎಂಟಿಟಿ ರೀ-ಬೈಂಡಿಂಗ್ ಸೆಲ್‌ನಲ್ಲಿ, ಸೂಕ್ತವಾದ ಘಟಕದ ಹೆಸರನ್ನು ಸೂಚಿಸಿ. ಇದಕ್ಕಾಗಿ ಮಾಜಿample, blinking_led_empty ಅಸ್ತಿತ್ವವನ್ನು ಸೂಚಿಸಿ. ಈ ಸಂದರ್ಭದಲ್ಲಿ, ನೀವು ಹೊಸ ಘಟಕದ linking_led_empty ಜೊತೆಗೆ ಬೇಸ್ ಪರಿಷ್ಕರಣೆ ಕಂಪೈಲ್‌ನಿಂದ u_blinking_led ನಿದರ್ಶನವನ್ನು ಮೇಲ್ಬರಹ ಮಾಡುತ್ತಿರುವಿರಿ. ಕೆಳಗಿನ ಸಾಲು ಈಗ .qsf ನಲ್ಲಿ ಅಸ್ತಿತ್ವದಲ್ಲಿದೆ:
    ##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING ಬ್ಲಿಂಕಿಂಗ್_ಲೆಡ್_ಖಾಲಿ \ -ಟು u_blinking_led
  5. supr_partition ಗಾಗಿ ಎಂಟಿಟಿ ರೀ-ಬೈಂಡಿಂಗ್ ಸೆಲ್‌ನಲ್ಲಿ, top_counter_fast ಘಟಕವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ. top_counter_fast ನೀವು SUPR ಅನ್ನು ಪೂರ್ಣಗೊಳಿಸಿದಾಗ u_top_counter ಅನ್ನು ಬದಲಿಸುವ ಸ್ಥಿರ ಘಟಕದ ಹೆಸರಾಗಿದೆ.intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್ - SUPR##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to u_top_counter
  6. ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು, ಸಂಸ್ಕರಣೆ ➤ ಸಂಕಲನವನ್ನು ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪರ್ಯಾಯವಾಗಿ, ಈ ಯೋಜನೆಯ ಪರಿಷ್ಕರಣೆಯನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಈ ಕೆಳಗಿನ ಆಜ್ಞೆಯನ್ನು ಬಳಸಿ: quartus_sh –flow ಕಂಪೈಲ್ blinking_led –c \ impl_blinking_led_supr_new

1.5.9. ಹಂತ 9: ಬೋರ್ಡ್ ಅನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಿ
Intel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿಯನ್ನು ಸಂಪರ್ಕಿಸಲು ಮತ್ತು ಪ್ರೋಗ್ರಾಂ ಮಾಡಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ.

  1. Intel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿಗೆ ವಿದ್ಯುತ್ ಸರಬರಾಜನ್ನು ಸಂಪರ್ಕಿಸಿ.
  2. ನಿಮ್ಮ PC USB ಪೋರ್ಟ್ ಮತ್ತು ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿಯಲ್ಲಿ USB ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಹಾರ್ಡ್‌ವೇರ್ ನಡುವೆ USB ಕೇಬಲ್ ಅನ್ನು ಸಂಪರ್ಕಿಸಿ.
  3. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್‌ವೇರ್ ತೆರೆಯಿರಿ, ತದನಂತರ ಟೂಲ್ಸ್ ➤ ಪ್ರೋಗ್ರಾಮರ್ ಕ್ಲಿಕ್ ಮಾಡಿ. ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿಯ ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಅನ್ನು ನೋಡಿ.
  4. ಪ್ರೋಗ್ರಾಮರ್ನಲ್ಲಿ, ಹಾರ್ಡ್ವೇರ್ ಸೆಟಪ್ ಅನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ, ತದನಂತರ ಯುಎಸ್ಬಿ-ಬ್ಲಾಸ್ಟರ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಿ.
  5. ಸ್ವಯಂ ಪತ್ತೆ ಕ್ಲಿಕ್ ಮಾಡಿ, ತದನಂತರ AGFB014R24B ಸಾಧನವನ್ನು ಆಯ್ಕೆಮಾಡಿ.
  6.  ಸರಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್‌ವೇರ್ ಬೋರ್ಡ್‌ನಲ್ಲಿರುವ ಮೂರು FPGA ಸಾಧನಗಳೊಂದಿಗೆ ಪ್ರೋಗ್ರಾಮರ್ ಅನ್ನು ಪತ್ತೆ ಮಾಡುತ್ತದೆ ಮತ್ತು ನವೀಕರಿಸುತ್ತದೆ.
  7.  AGFB014R24B ಸಾಧನವನ್ನು ಆಯ್ಕೆಮಾಡಿ, ಬದಲಾಯಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ File, ಮತ್ತು blinking_led_default.sof ಅನ್ನು ಲೋಡ್ ಮಾಡಿ file.
  8. blinking_led_default.sof ಗಾಗಿ ಪ್ರೋಗ್ರಾಂ/ಕಾನ್ಫಿಗರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ file.
  9. ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ ಮತ್ತು ಪ್ರಗತಿ ಬಾರ್ 100% ತಲುಪಲು ನಿರೀಕ್ಷಿಸಿ.
  10.  ಬೋರ್ಡ್‌ನಲ್ಲಿ ಎಲ್ಇಡಿ ಮಿಟುಕಿಸುವುದನ್ನು ಗಮನಿಸಿ.
  11. PR ಪ್ರದೇಶವನ್ನು ಮಾತ್ರ ಪ್ರೋಗ್ರಾಂ ಮಾಡಲು, blinking_led_default.sof ಮೇಲೆ ಬಲ ಕ್ಲಿಕ್ ಮಾಡಿ file ಪ್ರೋಗ್ರಾಮರ್‌ನಲ್ಲಿ ಮತ್ತು PR ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಅನ್ನು ಸೇರಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ File. blinking_led_slow.pr_partition.rbf ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಿ file.
  12. blinking_led_default.sof ಗಾಗಿ ಪ್ರೋಗ್ರಾಂ/ಕಾನ್ಫಿಗರ್ ಅನ್ನು ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಿ file.
  13.  Blinking_led_slow.pr_partition.rbf ಗಾಗಿ ಪ್ರೋಗ್ರಾಂ/ಕಾನ್ಫಿಗರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ file, ತದನಂತರ ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಬೋರ್ಡ್‌ನಲ್ಲಿ ಎಲ್‌ಇಡಿ[0] ಮತ್ತು ಎಲ್‌ಇಡಿ[1] ಮಿಟುಕಿಸುವುದನ್ನು ಗಮನಿಸಿ. ಪ್ರಗತಿ ಪಟ್ಟಿಯು 100% ತಲುಪಿದಾಗ, LED[2] ಮತ್ತು LED[3] ನಿಧಾನವಾಗಿ ಮಿನುಗುತ್ತದೆ.
  14. PR ಪ್ರದೇಶವನ್ನು ಮರು-ಪ್ರೋಗ್ರಾಂ ಮಾಡಲು, .rbf ಮೇಲೆ ಬಲ ಕ್ಲಿಕ್ ಮಾಡಿ file ಪ್ರೋಗ್ರಾಮರ್‌ನಲ್ಲಿ, ತದನಂತರ PR ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಬದಲಾಯಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ File.
  15.  .rbf ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ fileಮಂಡಳಿಯಲ್ಲಿನ ನಡವಳಿಕೆಯನ್ನು ವೀಕ್ಷಿಸಲು ಇತರ ಇಬ್ಬರು ವ್ಯಕ್ತಿಗಳಿಗೆ ರು. blinking_led_default.pr_partition.rbf ಅನ್ನು ಲೋಡ್ ಮಾಡಲಾಗುತ್ತಿದೆ file ಎಲ್ಇಡಿಗಳು ಮೂಲ ಆವರ್ತನದಲ್ಲಿ ಮಿಟುಕಿಸುವಂತೆ ಮಾಡುತ್ತದೆ ಮತ್ತು blinking_led_empty.pr_partition.rbf ಅನ್ನು ಲೋಡ್ ಮಾಡುತ್ತದೆ file ಎಲ್ಇಡಿಗಳು ಆನ್ ಆಗುವಂತೆ ಮಾಡುತ್ತದೆ. 17. SUPR ಲಾಜಿಕ್ ಅನ್ನು ಬದಲಾಯಿಸಲು, impl_blinking_led_supr_new.sof ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಲು ಮೇಲಿನ ಹಂತ 7 ಅನ್ನು ಪುನರಾವರ್ತಿಸಿ. ಇದನ್ನು ಬದಲಾಯಿಸಿದ ನಂತರ file, led [0:1] ಈಗ ಮೊದಲಿಗಿಂತ ಹೆಚ್ಚು ವೇಗದಲ್ಲಿ ಮಿನುಗುತ್ತದೆ. ಇತರ PR .rbf fileಗಳು ಹೊಸ .sof ನೊಂದಿಗೆ ಸಹ ಹೊಂದಿಕೊಳ್ಳುತ್ತವೆ.
    ಗಮನಿಸಿ: ಅಸೆಂಬ್ಲರ್ .rbf ಅನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ file SUPR ಪ್ರದೇಶಕ್ಕಾಗಿ. ಆದಾಗ್ಯೂ, ನೀವು ಇದನ್ನು ಬಳಸಬಾರದು file SUPR ವಿಭಾಗವು ಫ್ರೀಜ್ ಬ್ರಿಡ್ಜ್, PR ಪ್ರದೇಶ ನಿಯಂತ್ರಕ, ಮತ್ತು ಒಟ್ಟಾರೆ ವ್ಯವಸ್ಥೆಯಲ್ಲಿ ಇತರ ತರ್ಕವನ್ನು ತ್ವರಿತಗೊಳಿಸದ ಕಾರಣ ರನ್‌ಟೈಮ್‌ನಲ್ಲಿ FPGA ಅನ್ನು ರಿಪ್ರೊಗ್ರಾಮ್ ಮಾಡಲು. ನೀವು SUPR ವಿಭಜನಾ ತರ್ಕಕ್ಕೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡಿದಾಗ, ನೀವು ಪೂರ್ಣ .sof ಅನ್ನು ಮರು ಪ್ರೋಗ್ರಾಮ್ ಮಾಡಬೇಕು file SUPR ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆ ಸಂಕಲನದಿಂದ.

ಚಿತ್ರ 12. ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿಯನ್ನು ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಮಾಡುವುದು
intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್ - ಬೋರ್ಡ್1.5.9.1. PR ಪ್ರೋಗ್ರಾಮಿಂಗ್ ದೋಷಗಳನ್ನು ನಿವಾರಿಸುವುದು
ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೋಗ್ರಾಮರ್ ಮತ್ತು ಸಂಪರ್ಕಿತ ಹಾರ್ಡ್‌ವೇರ್‌ನ ಸರಿಯಾದ ಸೆಟಪ್ ಅನ್ನು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳುವುದು PR ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಸಮಯದಲ್ಲಿ ಯಾವುದೇ ದೋಷಗಳನ್ನು ತಪ್ಪಿಸಲು ಸಹಾಯ ಮಾಡುತ್ತದೆ.
ನೀವು ಯಾವುದೇ PR ಪ್ರೋಗ್ರಾಮಿಂಗ್ ದೋಷಗಳನ್ನು ಎದುರಿಸಿದರೆ, ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಯಲ್ಲಿ "ಪರಿಹಾರ PR ಪ್ರೋಗ್ರಾಮಿಂಗ್ ದೋಷಗಳನ್ನು" ನೋಡಿ: ಹಂತ-ಹಂತದ ದೋಷನಿವಾರಣೆ ಸಲಹೆಗಳಿಗಾಗಿ ಭಾಗಶಃ ಮರುಸಂರಚನೆ.
ಸಂಬಂಧಿತ ಮಾಹಿತಿ

PR ಪ್ರೋಗ್ರಾಮಿಂಗ್ ದೋಷಗಳನ್ನು ನಿವಾರಿಸುವುದು

1.5.10. SUPR ವಿಭಜನೆಯನ್ನು ಮಾರ್ಪಡಿಸಲಾಗುತ್ತಿದೆ
ನೀವು ಅಸ್ತಿತ್ವದಲ್ಲಿರುವ SUPR ವಿಭಾಗವನ್ನು ಮಾರ್ಪಡಿಸಬಹುದು. SUPR ವಿಭಾಗವನ್ನು ಮಾರ್ಪಡಿಸಿದ ನಂತರ, ನೀವು ಅದನ್ನು ಕಂಪೈಲ್ ಮಾಡಬೇಕು, .sof ಅನ್ನು ರಚಿಸಬೇಕು file, ಮತ್ತು ಇತರ ವ್ಯಕ್ತಿಗಳನ್ನು ಕಂಪೈಲ್ ಮಾಡದೆಯೇ ಬೋರ್ಡ್ ಅನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಿ. ಉದಾಹರಣೆಗೆample, top_counter_fast.sv ಮಾಡ್ಯೂಲ್ ಅನ್ನು ವೇಗವಾಗಿ ಎಣಿಸಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:

  1. ಪ್ರಸ್ತುತ ಪರಿಷ್ಕರಣೆಯಂತೆ impl_blinking_led_supr_new ಅನ್ನು ಹೊಂದಿಸಿ.
  2.  top_counter_fast.sv ನಲ್ಲಿ file, count_d + 2 ಹೇಳಿಕೆಯನ್ನು count_d + 4 ನೊಂದಿಗೆ ಬದಲಾಯಿಸಿ.
  3.  SUPR ಬ್ಲಾಕ್ ಅನ್ನು ಮರು-ಸಂಶ್ಲೇಷಿಸಲು ಮತ್ತು ಹೊಸ .sof ಅನ್ನು ರಚಿಸಲು ಕೆಳಗಿನ ಆಜ್ಞೆಗಳನ್ನು ಚಲಾಯಿಸಿ file: quartus_sh –flow ಕಂಪೈಲ್ blinking_led \ -c impl_blinking_led_supr_new
    ಪರಿಣಾಮವಾಗಿ .sof ಈಗ ಹೊಸ SUPR ಪ್ರದೇಶವನ್ನು ಹೊಂದಿದೆ ಮತ್ತು ಡೀಫಾಲ್ಟ್ (ಪವರ್-ಆನ್) ವ್ಯಕ್ತಿತ್ವಕ್ಕಾಗಿ blinking_led ಅನ್ನು ಬಳಸುತ್ತದೆ.

1.6. AN 987 ರ ಡಾಕ್ಯುಮೆಂಟ್ ಪರಿಷ್ಕರಣೆ ಇತಿಹಾಸ: ಸ್ಥಾಯೀ ನವೀಕರಣ ಭಾಗಶಃ ಪುನರ್ರಚನೆ ಟ್ಯುಟೋರಿಯಲ್ ಪರಿಷ್ಕರಣೆ ಇತಿಹಾಸ

ಡಾಕ್ಯುಮೆಂಟ್ ಆವೃತ್ತಿ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಆವೃತ್ತಿ ಬದಲಾವಣೆಗಳು
2022.10.24 22. ಡಾಕ್ಯುಮೆಂಟ್ನ ಆರಂಭಿಕ ಬಿಡುಗಡೆ.

Intel® Quartus®Prime Design Suite ಗಾಗಿ ನವೀಕರಿಸಲಾಗಿದೆ: 22.3

ಉನ್ನತ FAQ ಗಳಿಗೆ ಉತ್ತರಗಳು:

ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ

Q ಸ್ಥಿರ ನವೀಕರಣ ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಎಂದರೇನು

ಪುಟ 3 ರಲ್ಲಿ ಸ್ಥಿರ ನವೀಕರಣ ಭಾಗಶಃ ಮರುಸಂರಚನೆ

ಪ್ರಶ್ನೆ ಈ ಟ್ಯುಟೋರಿಯಲ್‌ಗೆ ನನಗೆ ಏನು ಬೇಕು?

ಪುಟ 3 ರಲ್ಲಿ ಟ್ಯುಟೋರಿಯಲ್ ಅವಶ್ಯಕತೆಗಳು

ಪ್ರಶ್ನೆ ನಾನು ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವನ್ನು ಎಲ್ಲಿ ಪಡೆಯಬಹುದು?

ಒಂದು ಡೌನ್‌ಲೋಡ್ ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ Fileಪುಟ 5 ರಲ್ಲಿ ರು

Q ನಾನು SUPR ವಿನ್ಯಾಸವನ್ನು ಹೇಗೆ ರಚಿಸುವುದು?

ಪುಟ 6 ರಲ್ಲಿ ಒಂದು ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ ದರ್ಶನ

ಪ್ರಶ್ನೆ PR ವ್ಯಕ್ತಿತ್ವ ಎಂದರೇನು?

ಪುಟ 10 ರಲ್ಲಿ ವ್ಯಕ್ತಿಗಳನ್ನು ವಿವರಿಸಿ

Q ನಾನು SUPR ತರ್ಕವನ್ನು ಹೇಗೆ ಬದಲಾಯಿಸುವುದು? A ಪುಟ 16 ರಲ್ಲಿ SUPR ಲಾಜಿಕ್ ಅನ್ನು ಬದಲಾಯಿಸಿ

A ಪುಟ 16 ರಲ್ಲಿ SUPR ಲಾಜಿಕ್ ಅನ್ನು ಬದಲಾಯಿಸಿ

Q ನಾನು ಬೋರ್ಡ್ ಅನ್ನು ಹೇಗೆ ಪ್ರೋಗ್ರಾಂ ಮಾಡುವುದು?

ಪುಟ 18 ರಲ್ಲಿ ಬೋರ್ಡ್ ಪ್ರೋಗ್ರಾಂ

Q PR ತಿಳಿದಿರುವ ಸಮಸ್ಯೆಗಳು ಮತ್ತು ಮಿತಿಗಳು ಯಾವುವು?

ಇಂಟೆಲ್ FPGA ಬೆಂಬಲ ವೇದಿಕೆಗಳು: PR

intel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ - ಐಕಾನ್ ಆನ್ಲೈನ್ ​​ಆವೃತ್ತಿ
intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್ - ಐಕಾನ್ 154 ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ

ID: 749443
AN-987
ಆವೃತ್ತಿ: 2022.10.24

ದಾಖಲೆಗಳು / ಸಂಪನ್ಮೂಲಗಳು

intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್ [ಪಿಡಿಎಫ್] ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
Agilex F-Series, Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ, FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ, ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ, ಮಂಡಳಿ

ಉಲ್ಲೇಖಗಳು

ಕಾಮೆಂಟ್ ಬಿಡಿ

ನಿಮ್ಮ ಇಮೇಲ್ ವಿಳಾಸವನ್ನು ಪ್ರಕಟಿಸಲಾಗುವುದಿಲ್ಲ. ಅಗತ್ಯವಿರುವ ಕ್ಷೇತ್ರಗಳನ್ನು ಗುರುತಿಸಲಾಗಿದೆ *