ໂລໂກ້ IntelAN 987: ການປັບປຸງຄົງທີ່ບາງສ່ວນ
ການສອນການປັບຄ່າໃໝ່

ການປັບປຸງແບບຄົງທີ່ Tutorial ການປັບຕັ້ງຄ່າບາງສ່ວນສໍາລັບ Intel® ™ Agilex F-Series FPGA Development Board

ບັນທຶກແອັບພລິເຄຊັນນີ້ສະແດງໃຫ້ເຫັນເຖິງການປັບປຸງແບບຄົງທີ່ບາງສ່ວນ (SUPR) ຢູ່ໃນກະດານພັດທະນາ Intel ® F-Series FPGA. ການປັບຕັ້ງຄ່າບາງສ່ວນ (PR) ຊ່ວຍໃຫ້ທ່ານສາມາດປັບຄ່າບາງສ່ວນຂອງ Intel FPGA ແບບເຄື່ອນໄຫວໄດ້, ໃນຂະນະທີ່ FPGA ທີ່ຍັງເຫຼືອຍັງສືບຕໍ່ດໍາເນີນການ. PR ປະຕິບັດຫຼາຍບຸກຄົນໃນພາກພື້ນສະເພາະໃດຫນຶ່ງໃນການອອກແບບຂອງທ່ານ, ໂດຍບໍ່ມີການຜົນກະທົບຕໍ່ການດໍາເນີນງານໃນເຂດນອກພາກພື້ນນີ້. ວິທີການນີ້ສະຫນອງ advan ດັ່ງຕໍ່ໄປນີ້tages ໃນລະບົບທີ່ຫຼາຍຫນ້າທີ່ໃຊ້ເວລາແບ່ງປັນຊັບພະຍາກອນ FPGA ດຽວກັນ:

  • ອະ​ນຸ​ຍາດ​ໃຫ້​ການ​ຕັ້ງ​ຄ່າ​ແລ່ນ​ຄືນ​ໃຫມ່​
  • ເພີ່ມທະວີການຂະຫຍາຍການອອກແບບ
  • ຫຼຸດ​ຜ່ອນ​ການ​ໃຊ້​ເວ​ລາ​ຂອງ​ລະ​ບົບ​
  • ຮອງຮັບຟັງຊັນເວລາ multiplexing ແບບເຄື່ອນໄຫວໃນການອອກແບບ
  • ຫຼຸດຄ່າໃຊ້ຈ່າຍ ແລະການໃຊ້ພະລັງງານໂດຍການນຳໃຊ້ພື້ນທີ່ກະດານຢ່າງມີປະສິດທິພາບ

Static Update Partial Reconfiguration ແມ່ນຫຍັງ?

ໃນ PR ແບບດັ້ງເດີມ, ການປ່ຽນແປງໃດໆກັບພາກພື້ນທີ່ຄົງທີ່ຮຽກຮ້ອງໃຫ້ມີການລວບລວມຂໍ້ມູນຂອງທຸກໆຄົນ. ຢ່າງໃດກໍຕາມ, ດ້ວຍ SUPR ທ່ານສາມາດກໍານົດພາກພື້ນພິເສດທີ່ອະນຸຍາດໃຫ້ມີການປ່ຽນແປງ, ໂດຍບໍ່ມີການຮຽກຮ້ອງໃຫ້ມີການລວບລວມຂອງບຸກຄົນ. ເຕັກນິກນີ້ແມ່ນເປັນປະໂຫຍດສໍາລັບບາງສ່ວນຂອງການອອກແບບທີ່ທ່ານອາດຈະຕ້ອງການທີ່ຈະມີການປ່ຽນແປງສໍາລັບການຫຼຸດຜ່ອນຄວາມສ່ຽງ, ແຕ່ວ່າບໍ່ເຄີຍຮຽກຮ້ອງໃຫ້ມີ reconfiguration runtime.

1.1. ຂໍ້ກໍານົດການສອນ
tutorial ນີ້​ຮຽກ​ຮ້ອງ​ໃຫ້​ມີ​ດັ່ງ​ຕໍ່​ໄປ​ນີ້​:

  • ຄວາມຄຸ້ນເຄີຍພື້ນຖານກັບກະແສການຈັດຕັ້ງປະຕິບັດ ແລະໂຄງການ Intel Quartus® Prime Pro Edition FPGA files.
  •  ການຕິດຕັ້ງ Intel Quartus Prime Pro Edition ເວີຊັ່ນ 22.3, ຮອງຮັບອຸປະກອນ Intel Agilex.
  • ສໍາລັບການປະຕິບັດ FPGA, A JTAG ການເຊື່ອມຕໍ່ກັບກະດານພັດທະນາ Intel Agilex F-Series FPGA ຢູ່ເທິງໂຕະ.
  • ດາວ​ໂຫລດ Reference Design Files. ຂໍ້ມູນທີ່ກ່ຽວຂ້ອງ
  • ຄູ່​ມື​ການ​ປັບ​ຄ່າ​ບາງ​ສ່ວນ​ຜູ້​ໃຊ້​
  • ການສອນການປັບຄ່າບາງສ່ວນ
  • ການ​ຝຶກ​ອົບ​ຮົມ​ອອນ​ໄລ​ນ​໌ Reconfiguration ບາງ​ສ່ວນ​

ບໍລິສັດ Intel. ສະຫງວນລິຂະສິດທັງໝົດ. Intel, ໂລໂກ້ Intel, ແລະເຄື່ອງໝາຍ Intel ອື່ນໆແມ່ນເຄື່ອງໝາຍການຄ້າຂອງ Intel Corporation ຫຼືບໍລິສັດຍ່ອຍຂອງມັນ. Intel ຮັບປະກັນປະສິດທິພາບຂອງຜະລິດຕະພັນ FPGA ແລະ semiconductor ຂອງຕົນຕໍ່ກັບຂໍ້ມູນຈໍາເພາະໃນປະຈຸບັນໂດຍສອດຄ່ອງກັບການຮັບປະກັນມາດຕະຖານຂອງ Intel, ແຕ່ສະຫງວນສິດທີ່ຈະປ່ຽນແປງຜະລິດຕະພັນແລະການບໍລິການໄດ້ທຸກເວລາໂດຍບໍ່ມີການແຈ້ງການ. Intel ຖືວ່າບໍ່ມີຄວາມຮັບຜິດຊອບ ຫຼືຄວາມຮັບຜິດຊອບທີ່ເກີດຂຶ້ນຈາກແອັບພລິເຄຊັນ ຫຼືການນຳໃຊ້ຂໍ້ມູນ, ຜະລິດຕະພັນ, ຫຼືບໍລິການໃດໜຶ່ງທີ່ໄດ້ອະທິບາຍໄວ້ໃນນີ້ ຍົກເວັ້ນຕາມທີ່ໄດ້ຕົກລົງຢ່າງຈະແຈ້ງໃນລາຍລັກອັກສອນໂດຍ Intel. ລູກຄ້າ Intel ໄດ້ຮັບຄໍາແນະນໍາໃຫ້ໄດ້ຮັບສະບັບຫລ້າສຸດຂອງຂໍ້ມູນຈໍາເພາະຂອງອຸປະກອນກ່ອນທີ່ຈະອີງໃສ່ຂໍ້ມູນໃດໆທີ່ຈັດພີມມາແລະກ່ອນທີ່ຈະວາງຄໍາສັ່ງສໍາລັບຜະລິດຕະພັນຫຼືການບໍລິການ. *ຊື່ ແລະຍີ່ຫໍ້ອື່ນໆອາດຈະຖືກອ້າງວ່າເປັນຊັບສິນຂອງຄົນອື່ນ.
ISO 9001:2015 ລົງທະບຽນ
1.2. Reference Design Overview
ການອອກແບບການອ້າງອີງນີ້ປະກອບດ້ວຍຫນຶ່ງ, 32-bit counter. ໃນລະດັບກະດານ, ການອອກແບບເຊື່ອມຕໍ່ໂມງກັບແຫຼ່ງ 50MHz, ແລະຫຼັງຈາກນັ້ນເຊື່ອມຕໍ່ຜົນຜະລິດກັບສີ່ LEDs ໃນກະດານ. ການເລືອກຜົນຜະລິດຈາກ counter bits, ໃນລໍາດັບສະເພາະໃດຫນຶ່ງ, ເຮັດໃຫ້ LEDs ກະພິບໃນຄວາມຖີ່ສະເພາະໃດຫນຶ່ງ. ໂມດູນ top_counter ແມ່ນພາກພື້ນ SUPR.
ຮູບທີ 1. ການອອກແບບການອ້າງອີງແບບແປ

ໂລໂກ້ Intel1.3. ພາກພື້ນອັບເດດຄົງທີ່view
ຕົວເລກຕໍ່ໄປນີ້ສະແດງໃຫ້ເຫັນແຜນຜັງບລັອກສໍາລັບການອອກແບບ PR ທີ່ປະກອບມີພາກພື້ນ SUPR. ຕັນ A ແມ່ນພາກພື້ນສະຖິດສູງສຸດ. Block B ແມ່ນພາກພື້ນ SUPR. Block C ແມ່ນ PR partition.
ຮູບທີ 2. ການອອກແບບ PR ກັບພາກພື້ນ SUPR

intel Agilex F-Series FPGA ຄະນະກໍາມະການພັດທະນາ - ພາກພື້ນ

  • ພາກພື້ນຄົງທີ່ສູງສຸດ—ປະກອບດ້ວຍເຫດຜົນການອອກແບບທີ່ບໍ່ປ່ຽນແປງ. ການປ່ຽນແປງພາກພື້ນນີ້ຮຽກຮ້ອງໃຫ້ມີການລວບລວມບຸກຄົນທີ່ກ່ຽວຂ້ອງທັງຫມົດ. ພາກພື້ນສະຖິດປະກອບມີສ່ວນຂອງການອອກແບບທີ່ບໍ່ປ່ຽນແປງສໍາລັບບຸກຄົນໃດໆ. ພາກພື້ນນີ້ສາມາດລວມເອົາອຸປະກອນຂ້າງຄຽງ ແລະຊັບພະຍາກອນຫຼັກ. ທ່ານຕ້ອງລົງທະບຽນການສື່ສານທັງຫມົດລະຫວ່າງ SUPR ແລະ PR partitions ໃນພາກພື້ນ static. ຂໍ້ກໍານົດນີ້ຊ່ວຍຮັບປະກັນການປິດເວລາສໍາລັບບຸກຄົນໃດຫນຶ່ງ, ກ່ຽວກັບພາກພື້ນຄົງທີ່.
  • B SUPR Region - ປະກອບດ້ວຍເຫດຜົນຫຼັກເທົ່ານັ້ນທີ່ອາດຈະມີການປ່ຽນແປງສໍາລັບການຫຼຸດຜ່ອນຄວາມສ່ຽງ, ແຕ່ບໍ່ເຄີຍຮຽກຮ້ອງໃຫ້ມີການປັບຄ່າ runtime. ພາກພື້ນ SUPR ມີຄວາມຕ້ອງການແລະຂໍ້ຈໍາກັດດຽວກັນກັບການແບ່ງປັນ PR. ການແບ່ງສ່ວນ SUPR ສາມາດມີຊັບພະຍາກອນຫຼັກເທົ່ານັ້ນ. ດັ່ງນັ້ນ, ການແບ່ງສ່ວນ SUPR ຈະຕ້ອງເປັນພາທິຊັນເດັກນ້ອຍຂອງການແບ່ງປັນຮາກຊັ້ນເທິງທີ່ປະກອບດ້ວຍອຸປະກອນອອກແບບແລະໂມງ. ການປ່ຽນແປງພາກພື້ນ SUPR ຜະລິດ SRAM Object File (.sof) ທີ່ເຂົ້າກັນໄດ້ກັບທັງໝົດທີ່ມີຢູ່ແລ້ວທັງໝົດທີ່ຮວບຮວມ Binary ດິບ File (.rbf) files ສໍາລັບ PR partition C.
  • C PR Partition - ປະກອບດ້ວຍເຫດຜົນຕາມໃຈມັກທີ່ທ່ານສາມາດ reprogram ໃນເວລາແລ່ນດ້ວຍເຫດຜົນການອອກແບບໃດໆທີ່ເຫມາະແລະບັນລຸການປິດເວລາໃນລະຫວ່າງການລວບລວມ.

1.4. ດາວ​ໂຫລດ Reference Design Files
ການສອນການປັບຄ່າບາງສ່ວນແມ່ນມີຢູ່ໃນສະຖານທີ່ຕໍ່ໄປນີ້: https://github.com/intel/fpga-partial-reconfig
ເພື່ອ​ດາວ​ໂຫລດ tutorial ໄດ້​:

  1. ກົດ Clone ຫຼືດາວໂຫລດ.
  2. ກົດດາວໂຫລດ ZIP. Unzip fpga-partial-reconfig-master.zip file.
  3. ໄປທີ່ໂຟເດີຍ່ອຍ tutorials/agilex_pcie_devkit_blinking_led_supr ເພື່ອເຂົ້າເຖິງການອອກແບບອ້າງອີງ.
    ໂຟນເດີຮາບພຽງປະກອບດ້ວຍດັ່ງຕໍ່ໄປນີ້ files:
    ຕາຕະລາງ 1. ການອອກແບບອ້າງອີງ Files
File ຊື່ ລາຍລະອຽດ
ເທິງ. sv ລະດັບສູງສຸດ file ປະກອບດ້ວຍການປະຕິບັດຮາບພຽງຂອງການອອກແບບ. ໂມດູນນີ້ເຮັດໃຫ້ການແບ່ງສ່ວນຍ່ອຍຂອງ blinking_led ແລະໂມດູນ top_counter.
t op_counter . sv ເຄົາເຕີ 32-bit ລະດັບສູງສຸດທີ່ຄວບຄຸມ LED [1] ໂດຍກົງ. ຜົນຜະລິດທີ່ລົງທະບຽນຂອງເຄົາເຕີຄວບຄຸມ LED [0], ແລະຍັງໃຫ້ພະລັງງານ LED [2] ແລະ LED [3] ຜ່ານໂມດູນ blinking_led.
blinking_led. sdc ກໍານົດຂໍ້ຈໍາກັດໄລຍະເວລາສໍາລັບໂຄງການ.
blinking_led. sv ໃນບົດສອນນີ້, ທ່ານປ່ຽນໂມດູນນີ້ເປັນພາທິຊັນ PR ຂອງພໍ່ແມ່. ໂມດູນໄດ້ຮັບຜົນຜະລິດທີ່ລົງທະບຽນຂອງໂມດູນ top_counter, ເຊິ່ງຄວບຄຸມ LED [2] ແລະ LED [3].
blinking_led.qpf ໂຄງການ Intel Quartus Prime file ປະກອບມີບັນຊີລາຍຊື່ຂອງການແກ້ໄຂທັງຫມົດໃນໂຄງການ.
blinking_led . qs f ການຕັ້ງຄ່າ Intel Quartus Prime file ປະກອບດ້ວຍການມອບຫມາຍແລະການຕັ້ງຄ່າສໍາລັບໂຄງການ.

ໝາຍເຫດ: ໂຟນເດີ supr ປະກອບດ້ວຍຊຸດທີ່ສົມບູນຂອງ files ທ່ານ​ສ້າງ​ການ​ນໍາ​ໃຊ້​ຄໍາ​ຮ້ອງ​ສະ​ຫມັກ​ນີ້​ ບັນທຶກ. ອ້າງອີງເຫຼົ່ານີ້ files ໃນຈຸດໃດຫນຶ່ງໃນລະຫວ່າງການ walkthrough.
1.5. ການອ້າງອີງການອອກແບບ Walkthrough
ຂັ້ນຕອນຕໍ່ໄປນີ້ອະທິບາຍການປະຕິບັດ SUPR ດ້ວຍການອອກແບບຮາບພຽງ:

  • ຂັ້ນຕອນທີ 1: ການເລີ່ມຕົ້ນ
  • ຂັ້ນຕອນທີ 2: ສ້າງ Partitions ການອອກແບບ
  • ຂັ້ນ​ຕອນ​ທີ 3​: ການ​ຈັດ​ສັນ​ການ​ຈັດ​ວາງ​ແລະ​ເຂດ​ເສັ້ນ​ທາງ​
  • ຂັ້ນຕອນທີ 4: ກໍານົດບຸກຄົນ
  • ຂັ້ນຕອນທີ 5: ສ້າງການແກ້ໄຂ
  • ຂັ້ນຕອນທີ 6: ລວບລວມການທົບທວນພື້ນຖານ
  • ຂັ້ນຕອນທີ 7: ຕັ້ງຄ່າການແກ້ໄຂການຈັດຕັ້ງປະຕິບັດ PR
  • ຂັ້ນຕອນທີ 8: ປ່ຽນແປງ SUPR Logic
  • ຂັ້ນ​ຕອນ​ທີ 9​: ໂຄງ​ການ​ຄະ​ນະ​ກໍາ​ມະ​

ຮູບທີ 3. SUPR Compilation Flow

intel Agilex F-Series FPGA ຄະນະກໍາມະການພັດທະນາ - ການໄຫຼ

1.5.1. ຂັ້ນຕອນທີ 1: ການເລີ່ມຕົ້ນ
ເພື່ອຄັດລອກການອອກແບບອ້າງອີງ files ກັບສະພາບແວດລ້ອມການເຮັດວຽກຂອງທ່ານແລະລວບລວມການອອກແບບຮາບພຽງ blinking_led:

  1. ກ່ອນທີ່ທ່ານຈະເລີ່ມຕົ້ນ, ດາວໂຫລດ Reference Design Files ໃນຫນ້າ 5.
  2. ສ້າງໄດເລກະທໍລີ agilex_pcie_devkit_blinking_led_supr ໃນສະພາບແວດລ້ອມການເຮັດວຽກຂອງເຈົ້າ.
  3. ສຳເນົາການສອນທີ່ດາວໂຫຼດມາ/agilex_pcie_devkit_blinking_led/flat sub-folder ໄປທີ່ໄດເລກະທໍລີ agilex_pcie_devkit_blinking_led_supr.
  4. ໃນຊອບແວ Intel Quartus Prime Pro Edition, ຄລິກ File ➤ ເປີດໂຄງການ ແລະເປີດ /flat/blinking_led.qpf.
  5. ເພື່ອລວບລວມການອອກແບບພື້ນຖານ, ຄລິກ ການປະມວນຜົນ ➤ ເລີ່ມການລວບລວມ. ບົດລາຍງານການວິເຄາະເວລາເປີດອັດຕະໂນມັດເມື່ອການລວບລວມສໍາເລັດ. ທ່ານສາມາດປິດ Timing Analyzer ໄດ້ໃນຕອນນີ້.

1.5.2. ຂັ້ນຕອນທີ 2: ສ້າງ Partitions ການອອກແບບ
ສ້າງພາທິຊັນອອກແບບສໍາລັບແຕ່ລະພາກພື້ນທີ່ທ່ານຕ້ອງການທີ່ຈະ configure ບາງສ່ວນ. ທ່ານ​ສາ​ມາດ​ສ້າງ​ຈໍາ​ນວນ​ຂອງ​ການ​ແບ່ງ​ປັນ​ເອ​ກະ​ລາດ​ຫຼື​ພາກ​ພື້ນ PR ໃນ​ໂຄງ​ການ​ຂອງ​ທ່ານ​. ປະຕິບັດຕາມຂັ້ນຕອນເຫຼົ່ານີ້ເພື່ອສ້າງພາທິຊັນອອກແບບສໍາລັບ u_blinking_led instance ເປັນ PR partition, ແລະຕົວຢ່າງ u_top_counter ເປັນພາກພື້ນ SUPR:

  1. ຄລິກຂວາໃສ່ຕົວຢ່າງ u_blinking_led ໃນ Project Navigator ແລະຄລິກ Design Partition
    ➤ Reconfigurable. ໄອຄອນການແບ່ງປັນການອອກແບບຈະປາກົດຢູ່ຖັດຈາກແຕ່ລະຕົວຢ່າງທີ່ຕັ້ງເປັນພາທິຊັນ.
    ຮູບທີ 4. ການສ້າງ Partitions ການອອກແບບintel Agilex F-Series FPGA ຄະນະກໍາມະການພັດທະນາ - ການແບ່ງສ່ວນ
  2. ເຮັດຊ້ຳຂັ້ນຕອນທີ 1 ເພື່ອສ້າງພາທິຊັນສຳລັບຕົວຢ່າງ u_top_counter.
  3. ກົດ Assignments ➤ Design Partitions Window. ປ່ອງຢ້ຽມສະແດງການແບ່ງປັນການອອກແບບທັງຫມົດໃນໂຄງການ.
    ຮູບທີ 5. ການອອກແບບ Partitions Window
    intel Agilex F-Series FPGA ຄະນະກໍາມະການພັດທະນາ - ປ່ອງຢ້ຽມ
  4. ຄລິກສອງເທື່ອທີ່ blinking_led Partition Name cell ເພື່ອປ່ຽນຊື່ເປັນ pr_partition. ເຊັ່ນດຽວກັນ, ປ່ຽນຊື່ພາທິຊັນ top_counter ເປັນ supr_partition.
    ອີກທາງເລືອກ, ການເພີ່ມເສັ້ນຕໍ່ໄປນີ້ໃສ່ blinking_led.qsf ສ້າງພາທິຊັນເຫຼົ່ານີ້:
    set_instance_assignment -name PARTITION pr_partition \ -to u_blinking_led -entity top
    set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ເປີດ \ -to u_blinking_led -entity top
    set_instance_assignment -name PARTITION supr_partition \ -to u_top_counter -entity top
    set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ເປີດ \ -to u_top_counter -entity top

1.5.3. ຂັ້ນ​ຕອນ​ທີ 3​: ການ​ຈັດ​ສັນ​ການ​ຈັດ​ວາງ​ແລະ​ເຂດ​ເສັ້ນ​ທາງ​
ສໍາລັບທຸກໆການແກ້ໄຂພື້ນຖານທີ່ທ່ານສ້າງ, Compiler ໃຊ້ການຈັດສັນພາກພື້ນ PR ເພື່ອຈັດວາງຫຼັກ persona ທີ່ສອດຄ້ອງກັນໃນພາກພື້ນທີ່ສະຫງວນໄວ້. ປະ​ຕິ​ບັດ​ຕາມ​ຂັ້ນ​ຕອນ​ເຫຼົ່າ​ນີ້​ເພື່ອ​ຊອກ​ຫາ​ແລະ​ກໍາ​ນົດ​ພາກ​ພື້ນ PR ໃນ​ແຜນ​ພື້ນ​ທີ່​ອຸ​ປະ​ກອນ​ສໍາ​ລັບ​ການ​ປັບ​ປຸງ​ພື້ນ​ຖານ​ຂອງ​ທ່ານ​:

  1. ໃນແຖບ Project Navigator Hierarchy, ຄລິກຂວາທີ່ u_blinking_led instance, ແລະຈາກນັ້ນຄລິກ Logic Lock Region ➤ Create New Logic Lock Region. ພາກພື້ນຈະປາກົດຢູ່ໃນປ່ອງຢ້ຽມ Logic Lock Regions.
  2. ລະບຸເຂດຄວາມກວ້າງຂອງ 5 ແລະຄວາມສູງຂອງ 5.
  3. ລະບຸຈຸດປະສານງານພາກພື້ນຂອງການຈັດວາງສຳລັບ u_blinking_led ໃນຖັນຕົ້ນກຳເນີດ. ຕົ້ນກໍາເນີດແມ່ນກົງກັບມຸມຊ້າຍລຸ່ມຂອງພາກພື້ນ. ລະບຸຕົ້ນສະບັບເປັນ X166_Y199. Compiler ຄິດໄລ່ (X170 Y203) ເປັນຈຸດປະສານງານດ້ານຂວາເທິງ.
  4. ເປີດໃຊ້ງານທາງເລືອກທີ່ສະຫງວນໄວ້ ແລະຫຼັກເທົ່ານັ້ນສຳລັບພາກພື້ນ.
  5. ຄລິກສອງຄັ້ງທີ່ຕົວເລືອກ Routing Region. ກ່ອງໂຕ້ຕອບການຕັ້ງຄ່າພາກພື້ນ Logic Lock Routing Region ຈະປາກົດຂຶ້ນ.
  6. ສໍາລັບປະເພດເສັ້ນທາງ, ເລືອກແກ້ໄຂດ້ວຍການຂະຫຍາຍ. ຕົວເລືອກນີ້ກໍານົດຄວາມຍາວການຂະຫຍາຍຂອງຫນຶ່ງໂດຍອັດຕະໂນມັດ.
  7. ເຮັດຊ້ໍາຂັ້ນຕອນທີ່ຜ່ານມາເພື່ອຈັດສັນຊັບພະຍາກອນຕໍ່ໄປນີ້ສໍາລັບ u_top_counter partition:
    • ຄວາມສູງ—5
    • ກວ້າງ—5
    • ຕົ້ນກຳເນີດ—X173_Y199
    • ພາກພື້ນກຳນົດເສັ້ນທາງ— ແກ້ໄຂດ້ວຍການຂະຫຍາຍທີ່ມີຄວາມຍາວຂອງໜຶ່ງ.
    • ຈອງ-ເປີດ
    • Core-Only—ເປີດ
    ຮູບ 6. Logic Lock Regions Window
    intel Agilex F-Series FPGA ຄະນະກໍາມະການພັດທະນາ - ປ່ອງຢ້ຽມພາກພື້ນ
    ໝາຍເຫດ: ພາກພື້ນຂອງເສັ້ນທາງຈະຕ້ອງໃຫຍ່ກວ່າພາກພື້ນການຈັດວາງ, ເພື່ອສະຫນອງຄວາມຍືດຫຍຸ່ນພິເສດສໍາລັບເສັ້ນທາງຂອງ Compiler.tage, ໃນເວລາທີ່ Compiler ເສັ້ນທາງບຸກຄົນທີ່ແຕກຕ່າງກັນ.
  8. ພາກພື້ນການຈັດວາງຂອງທ່ານຕ້ອງປິດລ້ອມດ້ວຍເຫດຜົນ blinking_led. ເພື່ອເລືອກພາກພື້ນການຈັດວາງໂດຍການຊອກຫາ node ໃນ Chip Planner, ຄລິກຂວາໃສ່ຊື່ພາກພື້ນ u_blinking_led ຢູ່ໃນປ່ອງຢ້ຽມ Logic Lock Regions, ແລະຫຼັງຈາກນັ້ນໃຫ້ຄລິກໃສ່ Locate Node ➤ Locate in Chip Planner.
  9.  ພາຍ​ໃຕ້​ການ​ລາຍ​ງານ​ການ​ແບ່ງ​ປັນ​, double ໃຫ້​ຄລິກ​ໃສ່​ລາຍ​ງານ​ການ​ອອກ​ແບບ Partitions​. Chip Planner ເນັ້ນແລະໃສ່ລະຫັດສີຂອງພາກພື້ນ.

ຮູບທີ 7. Chip Planner Node ສະຖານທີ່ສຳລັບ blinking_led
ຄະນະກໍາມະການພັດທະນາ intel Agilex F-Series FPGA -blinking_ledອີກທາງເລືອກ, ການເພີ່ມເສັ້ນຕໍ່ໄປນີ້ໃສ່ blinking_led.qsf ສ້າງພາກພື້ນເຫຼົ່ານີ້:
set_instance_assignment -name PARTITION pr_partition -to \u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ເປີດ \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition -to u_top_counter \ -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ເປີດ -to \u_top_counter -entity top
set_instance_assignment -name PLACE_REGION “X166 Y199 X170 Y203” -to \ u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -name ROUTE_REGION “X165 Y198 X171 Y204” -to \ u_blinking_led
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -to u_blinking_led
set_instance_assignment -name PLACE_REGION “X173 Y199 X177 Y203” -to \u_top_counter
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -name ROUTE_REGION “X172 Y198 X178 Y204” -to \u_top_counter
set_instance_assignment -name RESERVE_ROUTE_REGION ປິດ -ເຖິງ u_top_counter
1.5.4. ຂັ້ນຕອນທີ 4: ກໍານົດບຸກຄົນ

ການອອກແບບການອ້າງອິງນີ້ກໍານົດສາມບຸກຄົນແຍກຕ່າງຫາກສໍາລັບການແບ່ງປັນ PR ດຽວ, ແລະຫນຶ່ງ SUPR persona ສໍາລັບພາກພື້ນ SUPR. ປະຕິບັດຕາມຂັ້ນຕອນເຫຼົ່ານີ້ເພື່ອກໍານົດແລະລວມເອົາບຸກຄົນເຫຼົ່ານີ້ຢູ່ໃນໂຄງການຂອງທ່ານ. ຖ້າໃຊ້ Intel Quartus Prime Text Editor, ປິດການໃຊ້ງານ Add file
ກັບໂຄງການປະຈຸບັນໃນເວລາທີ່ບັນທຶກ files.

  1. ສ້າງ blinking_led_slow.sv ໃໝ່, blinking_led_empty.sv, ແລະ top_counter_fast.sv SystemVerilog files ໃນໄດເລກະທໍລີເຮັດວຽກຂອງທ່ານ. ຢືນຢັນວ່າ blinking_led.sv ມີຢູ່ໃນໄດເລກະທໍລີທີ່ເຮັດວຽກແລ້ວ.
  2.  ໃສ່ເນື້ອໃນຕໍ່ໄປນີ້ສໍາລັບ SystemVerilog files:
    ຕາຕະລາງ 2. Reference Design Personas SystemVerilog
    File ຊື່ ລາຍລະອຽດ ລະຫັດ
    blinking_led_slow. sv LEDs ກະພິບຊ້າລົງ timescale 1 ps / 1 ps 'default_nettype none
    module blinking_led_slow // ໂມງ
    ໂມງສາຍ input, reset ສາຍ input, input wire [31:01 counter,
    // ສັນຍານການຄວບຄຸມສໍາລັບສາຍໄຟ LEDs ອອກ led_two_on,
    output wire led_three_on localparam COUNTER_TAP = 27;
    reg led_two_on_r; ຂາ led_three_on_r; ມອບໝາຍ led_two_on = led_two_on_r; ມອບໝາຍ led_three_on = led_three_on_r; always_ff @(ໂມງ posedge) ເລີ່ມ led_two_on_r <= counter[COUNTER_TAP]; led_three_on_r <= counter[COUNTER_TAP]; endmodule
    blinking_led_empty. sv ໄຟ LEDs ເປີດຢູ່ timescale 1 ps / 1 ps 'default_nettype none module blinking_led_empty( // clock input wire clock , input wire reset , input wire [31:01 counter, // ສັນຍານຄວບຄຸມສໍາລັບ LEC- output wire led_two_on, output wire led_three_on
    ສືບຕໍ່…
    File ຊື່ ລາຍລະອຽດ ລະຫັດ
    // LED is active low assign led_two_on = l'IDO; ມອບໝາຍ led_three_on = 11b0; ໂມດູນສຸດທ້າຍ
    top_counter_fast.sv SUPR ທີສອງ 'timescale 1 ps / 1 ps
    ບຸກຄົນ Thdefault_nettype none module top_counter_fast
    // ການຄວບຄຸມສັນຍານສໍາລັບສາຍໄຟ LEDs ຂາອອກ led_one_on, ສາຍຜົນຜະລິດ [31:0] ນັບ, // ໂມງສາຍ input ໂມງ
    ); localparam COUNTER TAP = 23; reg [31:0] count_d; assign count = count_d; ມອບໝາຍ led_one_on = ount_d[COUNTER_TAP]; always_ff @(ໂມງ posedge) ເລີ່ມ count_d <= count_d + 2; ສິ້ນສຸດ
    .:ໂມດູນ
  3.  ກົດ File ➤ Save As ແລະບັນທຶກ .sv files ໃນບັນຊີໂຄງການປະຈຸບັນ.

1.5.5. ຂັ້ນຕອນທີ 5: ສ້າງການແກ້ໄຂ
ກະແສການອອກແບບ PR ໃຊ້ຄຸນສົມບັດການແກ້ໄຂໂຄງການໃນຊອບແວ Intel Quartus Prime. ການອອກແບບເບື້ອງຕົ້ນຂອງທ່ານແມ່ນການແກ້ໄຂພື້ນຖານ, ບ່ອນທີ່ທ່ານກໍານົດຂອບເຂດຂອງພາກພື້ນທີ່ຄົງທີ່ແລະພາກພື້ນທີ່ສາມາດກໍານົດຄືນໃຫມ່ໃນ FPGA. ຈາກການປັບປຸງພື້ນຖານ, ທ່ານສ້າງການປັບປຸງເພີ່ມເຕີມ. ການປັບປຸງເຫຼົ່ານີ້ປະກອບດ້ວຍການປະຕິບັດທີ່ແຕກຕ່າງກັນສໍາລັບພາກພື້ນ PR. ຢ່າງໃດກໍ່ຕາມ, ການແກ້ໄຂການປະຕິບັດ PR ທັງຫມົດນໍາໃຊ້ການຈັດວາງລະດັບເທິງດຽວກັນແລະຜົນການກໍານົດເສັ້ນທາງຈາກການປັບປຸງພື້ນຖານ. ເພື່ອລວບລວມການອອກແບບ PR, ທ່ານສ້າງການແກ້ໄຂການປະຕິບັດ PR ສໍາລັບແຕ່ລະຄົນ. ນອກຈາກນັ້ນ, ທ່ານຕ້ອງມອບຫມາຍການປັບຄ່າບາງສ່ວນ - ພື້ນຖານຫຼືບາງສ່ວນ - ປະເພດການແກ້ໄຂການປະຕິບັດ Persona ສໍາລັບແຕ່ລະການແກ້ໄຂ. ຕາຕະລາງຕໍ່ໄປນີ້ບອກຊື່ການດັດແກ້ ແລະປະເພດການດັດແກ້ສໍາລັບແຕ່ລະສະບັບ. ການແກ້ໄຂ impl_blinking_led_supr_new.qsf ແມ່ນການຈັດຕັ້ງປະຕິບັດ SUPR persona.
ຕາຕະລາງ 3. ດັດແກ້ຊື່ ແລະປະເພດ

ຊື່ການແກ້ໄຂ ປະເພດການແກ້ໄຂ
blinking_led Reconfiguration ບາງສ່ວນ – ຖານ
blinking_led_default ການປັບຕັ້ງຄ່າບາງສ່ວນ - ການຈັດຕັ້ງປະຕິບັດບຸກຄົນ
blinking_led_slow ການປັບຕັ້ງຄ່າບາງສ່ວນ - ການຈັດຕັ້ງປະຕິບັດບຸກຄົນ
blinking_led_empty ການປັບຕັ້ງຄ່າບາງສ່ວນ - ການຈັດຕັ້ງປະຕິບັດບຸກຄົນ
impl_blinking_led_supr_new ການປັບຕັ້ງຄ່າບາງສ່ວນ - ການຈັດຕັ້ງປະຕິບັດບຸກຄົນ

1.5.5.1. ການຕັ້ງຄ່າການທົບທວນພື້ນຖານ
ປະຕິບັດຕາມຂັ້ນຕອນເຫຼົ່ານີ້ເພື່ອກໍານົດ blinking_led ເປັນການແກ້ໄຂພື້ນຖານ:

  1. ຄລິກໂຄງການ ➤ ການປັບປຸງ.
  2. ສໍາລັບປະເພດການແກ້ໄຂ, ເລືອກເອົາບາງສ່ວນ Reconfiguration – Base.

intel Agilex F-Series FPGA ຄະນະກໍາມະການພັດທະນາ - ການປັບປຸງຂັ້ນຕອນນີ້ເພີ່ມສິ່ງຕໍ່ໄປນີ້ໃສ່ blinking_led.qsf:
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
1.5.5.2. ການສ້າງການແກ້ໄຂການຈັດຕັ້ງປະຕິບັດ
ປະຕິບັດຕາມຂັ້ນຕອນເຫຼົ່ານີ້ເພື່ອສ້າງການແກ້ໄຂການຈັດຕັ້ງປະຕິບັດ:

  1. ໃນກ່ອງໂຕ້ຕອບ Revisions, double-click < >.
  2. ໃນຊື່ການແກ້ໄຂ, ລະບຸ blinking_led_default ແລະເລືອກ blinking_led ໂດຍອີງໃສ່ການດັດແກ້.
  3. ສໍາ​ລັບ​ການ​ປັບ​ປຸງ​ປະ​ເພດ​, ເລືອກ​ເອົາ​ບາງ​ສ່ວນ Reconfiguration – ການ​ປະ​ຕິ​ບັດ​ບຸກ​ຄົນ​.
  4. ປິດ​ການ​ທໍາ​ງານ​ການ​ຕັ້ງ​ເປັນ​ທາງ​ເລືອກ​ການ​ແກ້​ໄຂ​ໃນ​ປະ​ຈຸ​ບັນ​.
  5. ເຮັດຊ້ໍາຂັ້ນຕອນ 2 ຫາ 5 ເພື່ອກໍານົດປະເພດການດັດແກ້ສໍາລັບການແກ້ໄຂການປະຕິບັດອື່ນໆ:
ຊື່ການແກ້ໄຂ ປະເພດການແກ້ໄຂ ອີງໃສ່ Revision
blinking_led_slow ການປັບຕັ້ງຄ່າບາງສ່ວນ - ການຈັດຕັ້ງປະຕິບັດບຸກຄົນ blinking_led
blinking_led_empty ການປັບຕັ້ງຄ່າບາງສ່ວນ - ການຈັດຕັ້ງປະຕິບັດບຸກຄົນ blinking_led
impl_blinking_led_supr_new ການປັບຕັ້ງຄ່າບາງສ່ວນ - ການຈັດຕັ້ງປະຕິບັດບຸກຄົນ blinking_led

ຮູບທີ 8. ການສ້າງການແກ້ໄຂການຈັດຕັ້ງປະຕິບັດ

intel Agilex F-Series FPGA ຄະນະກໍາມະການພັດທະນາ - ການແກ້ໄຂການປະຕິບັດແຕ່ລະ .qsf file ໃນປັດຈຸບັນປະກອບມີການມອບຫມາຍດັ່ງຕໍ່ໄປນີ້:
set_global_assignment -name REVISION_TYPE PR_IMPL
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_top_counter
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_blinking_led
1.5.6. ຂັ້ນຕອນທີ 6: ລວບລວມການທົບທວນພື້ນຖານ
ປະຕິບັດຕາມຂັ້ນຕອນເຫຼົ່ານີ້ເພື່ອລວບລວມການດັດແກ້ພື້ນຖານແລະສົ່ງອອກພາກພື້ນ static ແລະ SUPR ສໍາລັບການນໍາໃຊ້ຕໍ່ມາໃນການແກ້ໄຂການປະຕິບັດສໍາລັບບຸກຄົນ PR ໃຫມ່:

  1. ຕັ້ງ blinking_led ເປັນການແກ້ໄຂປະຈຸບັນຖ້າບໍ່ໄດ້ຕັ້ງໄວ້ແລ້ວ.
  2. ໃນປ່ອງຢ້ຽມການອອກແບບ Partitions, ໃຫ້ຄລິກໃສ່ (…) ທີ່ຢູ່ຕິດກັບຖັນຂວາທີ່ໄກທີ່ສຸດແລະເປີດໃຊ້ Post Final Export. File ຖັນ. ນອກນັ້ນທ່ານຍັງສາມາດປິດການທໍາງານຫຼືປ່ຽນລໍາດັບຂອງຖັນ.
  3. ເພື່ອສົ່ງອອກຮູບພາບສຸດທ້າຍຂອງການແບ່ງປັນການອອກແບບການປະຕິບັດ PR ຫຼັງຈາກການລວບລວມແຕ່ລະຄັ້ງ, ໃຫ້ລະບຸຕໍ່ໄປນີ້ສໍາລັບການສົ່ງອອກ Post Final Export. File ທາງ​ເລືອກ​ສໍາ​ລັບ​ການ​ແບ່ງ​ປັນ​ຮາກ​ແລະ SUPR​. The .qdb files ສົ່ງອອກໄປຍັງໄດເລກະທໍລີໂຄງການໂດຍຄ່າເລີ່ມຕົ້ນ.
    • root_partition—blinking_led_static.qdb
    • supr_partition—blinking_led_supr_partition_final.qdb
    ຮູບ 9. ການສົ່ງອອກອັດຕະໂນມັດໃນປ່ອງຢ້ຽມ Partitions ອອກແບບintel Agilex F-Series FPGA ຄະນະກໍາມະການພັດທະນາ - ປ່ອງຢ້ຽມແບ່ງສ່ວນອີກທາງເລືອກ, ການມອບໝາຍ .qsf ຕໍ່ໄປນີ້ຈະສົ່ງອອກພາທິຊັນອັດຕະໂນມັດຫຼັງຈາກການລວບລວມແຕ່ລະ:
    set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | - ຫນ່ວຍ​ງານ​ເທິງ​
    set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity top
  4. ເພື່ອລວບລວມການດັດແກ້ພື້ນຖານ blinking_led, ຄລິກ ການປະມວນຜົນ ➤ ເລີ່ມ
    ການລວບລວມ. ອີກທາງເລືອກ, ທ່ານສາມາດນໍາໃຊ້ຄໍາສັ່ງຕໍ່ໄປນີ້ເພື່ອລວບລວມການດັດແກ້ນີ້:
    quartus_sh –flow compile blinking_led -c blinking_led ຫຼັງຈາກການລວບລວມສົບຜົນສໍາເລັດ, ດັ່ງຕໍ່ໄປນີ້ files ປາກົດຢູ່ໃນລາຍຊື່ໂຄງການ:
    • blinking_led.sof
    • blinking_led.pr_partition.rbf
    • blinking_led.supr_partition.rbf
    • blinking_led_static.qdb
    • blinking_led_supr_partition_final.qdb

1.5.7. ຂັ້ນຕອນທີ 7: ຕັ້ງຄ່າການແກ້ໄຂການຈັດຕັ້ງປະຕິບັດ PR
ທ່ານຕ້ອງກະກຽມການແກ້ໄຂການປະຕິບັດ PR ກ່ອນທີ່ທ່ານຈະສາມາດສ້າງ PR bitstream ສໍາລັບການຂຽນໂປຼແກຼມອຸປະກອນ. ການຕັ້ງຄ່ານີ້ລວມມີການເພີ່ມພາກພື້ນ .qdb ຄົງທີ່ file ເປັນແຫຼ່ງ file ສໍາລັບການທົບທວນການປະຕິບັດແຕ່ລະຄົນ. ນອກຈາກນັ້ນ, ທ່ານຕ້ອງລະບຸ
ຫນ່ວຍງານທີ່ສອດຄ້ອງກັນຂອງພາກພື້ນ PR. ປະຕິບັດຕາມຂັ້ນຕອນເຫຼົ່ານີ້ເພື່ອຕັ້ງຄ່າການແກ້ໄຂການປະຕິບັດ PR:

  1.  ເພື່ອຕັ້ງຄ່າການດັດແກ້ປັດຈຸບັນ, ຄລິກທີ່ Project ➤ Revisions, ເລືອກ blinking_led_default ເປັນຊື່ການແກ້ໄຂ, ແລະຈາກນັ້ນຄລິກ Set Current. ອີກທາງເລືອກ, ທ່ານສາມາດເລືອກການດັດແກ້ປະຈຸບັນຢູ່ໃນແຖບເຄື່ອງມື Intel Quartus Prime ຕົ້ນຕໍ.
  2. ເພື່ອກວດສອບແຫຼ່ງທີ່ຖືກຕ້ອງສໍາລັບການດັດແກ້ການຈັດຕັ້ງປະຕິບັດນີ້, ຄລິກໂຄງການ ➤ ເພີ່ມ/ເອົາອອກ Files ໃນໂຄງການ. ຢືນຢັນວ່າ blinking_led.sv file ປະກົດຢູ່ໃນ file ບັນຊີລາຍຊື່.ກະດານພັດທະນາ intel Agilex F-Series FPGA - ປ່ອງຢ້ຽມ Partitions 1
  3. ເພື່ອກວດສອບແຫຼ່ງທີ່ຖືກຕ້ອງ file ສໍາລັບການແກ້ໄຂການຈັດຕັ້ງປະຕິບັດ, ຄລິກ ໂຄງການ ➤ ເພີ່ມ/ເອົາອອກ files ໃນໂຄງການ, ແລະເພີ່ມແຫຼ່ງຕໍ່ໄປນີ້ files ສໍາລັບການປັບປຸງການຈັດຕັ້ງປະຕິບັດ. ຖ້າມີ, ເອົາ blinking_led.sv ອອກຈາກລາຍຊື່ໂຄງການ files.
    Iການປັບປຸງການຈັດຕັ້ງປະຕິບັດຊື່ ທີ່ມາ File
    blinking_led_empty blinking_led_empty.sv
    blinking_led_slow blinking_led_slow.sv
  4. ຕັ້ງ blinking_led_default ເປັນການແກ້ໄຂປະຈຸບັນ.
  5. ເພື່ອລະບຸ .qdb file ເປັນແຫລ່ງທີ່ມາຂອງ root_partition, ຄລິກ Assignments ➤ Design Partitions Window. ຄລິກສອງເທື່ອໃສ່ຖານຂໍ້ມູນ Partition File cell ແລະລະບຸ blinking_led_static.qdb file.
  6. ເຊັ່ນດຽວກັນ, ລະບຸ blinking_led_supr_partition_final.qdb ເປັນຖານຂໍ້ມູນພາທິຊັນ. File ສໍາລັບ supr_partition.

    ຮູບທີ 10.intel Agilex F-Series FPGA ຄະນະກໍາມະການພັດທະນາ - ລະບຸອີກທາງເລືອກ, ໃຊ້ການມອບໝາຍ .qsf ຕໍ່ໄປນີ້ເພື່ອລະບຸ .qdb:
    set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
    set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_supr_partition_final.qdb -to u_top_counter

  7. ໃນປ່ອງຢ້ຽມການອອກແບບ Partitions, ໃຫ້ຄລິກໃສ່ (…) ທີ່ຢູ່ຕິດກັບຖັນຂວາທີ່ໄກທີ່ສຸດແລະເປີດໃຊ້ຖັນ Entity Re-binding.
  8.  ໃນຕາລາງການຜູກມັດ Entity Re-binding, ໃຫ້ລະບຸຊື່ entity ໃຫມ່ສໍາລັບ PR partition ທີ່ທ່ານກໍາລັງປ່ຽນແປງໃນການແກ້ໄຂການປະຕິບັດໃນປະຈຸບັນ. ສໍາລັບການແກ້ໄຂການປະຕິບັດ blinking_led_default, ຊື່ຫນ່ວຍງານແມ່ນ blinking_led. ໃນກໍລະນີນີ້, ທ່ານກໍາລັງຂຽນທັບ u_blinking_led instance ຈາກການແກ້ໄຂພື້ນຖານ compile ກັບ entity blinking_led ໃຫມ່. ສໍາລັບການແກ້ໄຂການປະຕິບັດອື່ນໆ, ເບິ່ງຕາຕະລາງຕໍ່ໄປນີ້:

    ການທົບທວນ Entity Re-binding value
    blinking_led_slow blinking_led_slow
    blinking_led_empty blinking_led_empty

    ຮູບທີ 11. ການຜູກມັດໜ່ວຍງານintel Agilex F-Series FPGA ຄະນະກໍາມະການພັດທະນາ - ການຜູກມັດອີກທາງເລືອກ, ທ່ານສາມາດນໍາໃຊ້ເສັ້ນຕໍ່ໄປນີ້ໃນແຕ່ລະ .qsf ຂອງການດັດແກ້ເພື່ອກໍານົດການມອບຫມາຍ:
    ##blinking_led_default.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led \ -to u_blinking_led
    ##blinking_led_slow.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
    ##blinking_led_empty.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led

  9. ລຶບຂໍ້ຄວາມ place_holder ອອກຈາກຕາລາງການຜູກມັດຂອງ Entity ສໍາລັບ supr_partition.
  10. ເພື່ອລວບລວມການອອກແບບ, ຄລິກ ການປະມວນຜົນ ➤ ເລີ່ມການລວບລວມ. ອີກທາງເລືອກ, ໃຊ້ຄໍາສັ່ງຕໍ່ໄປນີ້ເພື່ອລວບລວມໂຄງການນີ້: quartus_sh –flow compile blinking_led –c blinking_led_default
  11. ເຮັດຊ້ໍາຂັ້ນຕອນ 4 ຫາ 11 ເພື່ອກະກຽມ ແລະລວບລວມການດັດແກ້ການຈັດຕັ້ງປະຕິບັດ blinking_led_slow ແລະ blinking_led_empty.

1.5.8. ຂັ້ນຕອນທີ 8: ປ່ຽນແປງ SUPR Logic
ເພື່ອປ່ຽນການທໍາງານຂອງເຫດຜົນພາຍໃນພາທິຊັນ SUPR, ທ່ານຕ້ອງປ່ຽນແຫຼ່ງພາທິຊັນ SUPR. ເຮັດຕາມຂັ້ນຕອນຕໍ່ໄປນີ້ເພື່ອແທນທີ່ u_top_counter instance ໃນພາທິຊັນ SUPR ກັບ top_counter_fast entity.

  1. ເພື່ອຕັ້ງການແກ້ໄຂການຈັດຕັ້ງປະຕິບັດ SUPR ເປັນປັດຈຸບັນ, ຄລິກທີ່ໂຄງການ ➤ ການແກ້ໄຂ ແລະຕັ້ງ impl_blinking_led_supr_new ເປັນການແກ້ໄຂປະຈຸບັນ, ຫຼືເລືອກ
    ການແກ້ໄຂໃນແຖບເຄື່ອງມືຫຼັກ Intel Quartus Prime.
  2. ເພື່ອກວດສອບແຫຼ່ງທີ່ຖືກຕ້ອງ file ສໍາລັບການປັບປຸງການຈັດຕັ້ງປະຕິບັດ, ຄລິກ ໂຄງການ ➤
    ເພີ່ມ/ເອົາອອກ files ໃນໂຄງການ, ແລະກວດສອບວ່າ top_counter_fast.sv ແມ່ນແຫຼ່ງສໍາລັບການດັດແກ້ການຈັດຕັ້ງປະຕິບັດ impl_blinking_led_supr_new. ຖ້າມີ, ເອົາ top_counter.sv ອອກຈາກລາຍຊື່ໂຄງການ files.intel Agilex F-Series FPGA ຄະນະກໍາມະການພັດທະນາ - ການມອບຫມາຍ
  3. ເພື່ອລະບຸ .qdb file ທີ່​ກ່ຽວ​ຂ້ອງ​ກັບ​ການ​ແບ່ງ​ປັນ​ຮາກ​, ໃຫ້​ຄລິກ​ໃສ່ Assignments ➤ Design Partitions Window​, ແລະ​ຫຼັງ​ຈາກ​ນັ້ນ​ໃຫ້​ຄລິກ​ສອງ​ຄັ້ງ​ຖານ​ຂໍ້​ມູນ​ພາ​ທິ​ຊັນ​. File ຕາລາງເພື່ອລະບຸ blinking_led_static.qdb.
    ອີກທາງເລືອກ, ໃຊ້ຄໍາສັ່ງຕໍ່ໄປນີ້ເພື່ອມອບຫມາຍນີ້ file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
  4. ໃນຕາລາງການຜູກມັດຂອງ Entity ສໍາລັບ pr_partition, ໃຫ້ລະບຸຊື່ entity ທີ່ເຫມາະສົມ. ສໍາລັບນີ້ example, ລະບຸ blinking_led_empty entity. ໃນກໍລະນີນີ້, ທ່ານກໍາລັງຂຽນທັບ u_blinking_led instance ຈາກການແກ້ໄຂພື້ນຖານ compile ກັບ entity linking_led_empty ໃຫມ່. ແຖວຕໍ່ໄປນີ້ມີຢູ່ໃນ .qsf:
    ##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led
  5. ໃນຕາລາງການຜູກມັດຂອງ Entity ສໍາລັບ supr_partition, ໃຫ້ລະບຸ entity top_counter_fast. top_counter_fast ແມ່ນຊື່ຂອງ static entity ທີ່ປ່ຽນແທນ u_top_counter ເມື່ອທ່ານເຮັດ SUPR.ຄະນະກໍາມະການພັດທະນາ intel Agilex F-Series FPGA - SUPR##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to u_top_counter
  6. ເພື່ອລວບລວມການອອກແບບ, ຄລິກ ການປະມວນຜົນ ➤ ເລີ່ມການລວບລວມ. ອີກທາງເລືອກ, ໃຊ້ຄໍາສັ່ງຕໍ່ໄປນີ້ເພື່ອລວບລວມການປັບປຸງໂຄງການນີ້: quartus_sh –flow compile blinking_led –c \ impl_blinking_led_supr_new

1.5.9. ຂັ້ນ​ຕອນ​ທີ 9​: ໂຄງ​ການ​ຄະ​ນະ​ກໍາ​ມະ​
ປະຕິບັດຕາມຂັ້ນຕອນເຫຼົ່ານີ້ເພື່ອເຊື່ອມຕໍ່ ແລະດໍາເນີນໂຄງການກະດານພັດທະນາ Intel Agilex F-Series FPGA.

  1. ເຊື່ອມຕໍ່ການສະຫນອງພະລັງງານກັບກະດານພັດທະນາ Intel Agilex F-Series FPGA.
  2. ເຊື່ອມຕໍ່ສາຍ USB ລະຫວ່າງພອດ USB ຂອງ PC ແລະຮາດແວການຂຽນໂປຣແກຣມ USB ຢູ່ໃນກະດານພັດທະນາ.
  3. ເປີດຊອບແວ Intel Quartus Prime, ແລະຈາກນັ້ນຄລິກ Tools ➤ Programmer. ອ້າງອີງເຖິງ Programming a Development Board.
  4. ໃນ Programmer, ຄລິກ Hardware Setup, ແລະຫຼັງຈາກນັ້ນເລືອກ USB-Blaster.
  5. ກົດ Auto Detect, ແລະຫຼັງຈາກນັ້ນເລືອກອຸປະກອນ AGFB014R24B.
  6.  ກົດ OK. ຊອບແວ Intel Quartus Prime ກວດພົບ ແລະອັບເດດ Programmer ດ້ວຍອຸປະກອນ FPGA ສາມອັນຢູ່ໃນກະດານ.
  7.  ເລືອກອຸປະກອນ AGFB014R24B, ຄລິກປ່ຽນ File, ແລະໂຫຼດ blinking_led_default.sof file.
  8. ເປີດໃຊ້ Program/Configure ສໍາລັບ blinking_led_default.sof file.
  9. ກົດ Start ແລະລໍຖ້າສໍາລັບແຖບຄວາມຄືບຫນ້າເພື່ອບັນລຸ 100%.
  10.  ສັງເກດໄຟ LED ໃນກະດານກະພິບ.
  11. ເພື່ອດໍາເນີນໂຄງການພຽງແຕ່ພາກພື້ນ PR, ຄລິກຂວາທີ່ blinking_led_default.sof file ໃນ Programmer ແລະກົດ Add PR Programming File. ເລືອກ blinking_led_slow.pr_partition.rbf file.
  12. ປິດໃຊ້ງານໂຄງການ/ກຳນົດຄ່າສຳລັບ blinking_led_default.sof file.
  13.  ເປີດໃຊ້ Program/Configure ສໍາລັບ blinking_led_slow.pr_partition.rbf file, ແລະ​ຫຼັງ​ຈາກ​ນັ້ນ​ໃຫ້​ຄລິກ​ໃສ່​ເລີ່ມ​ຕົ້ນ​. ຢູ່ເທິງກະດານ, ໃຫ້ສັງເກດ LED[0] ແລະ LED[1] ສືບຕໍ່ກະພິບ. ເມື່ອແຖບຄວາມຄືບໜ້າໄປຮອດ 100%, LED[2] ແລະ LED[3] ກະພິບຊ້າລົງ.
  14. ເພື່ອຈັດໂຄງການຄືນໃໝ່ຂອງພາກພື້ນ PR, ຄລິກຂວາທີ່ .rbf file ໃນ Programmer, ແລະຫຼັງຈາກນັ້ນໃຫ້ຄລິກໃສ່ Change PR Programing File.
  15.  ເລືອກ .rbf files ສໍາລັບອີກສອງຄົນເພື່ອສັງເກດເບິ່ງພຶດຕິກໍາຢູ່ໃນກະດານ. ກຳລັງໂຫລດ blinking_led_default.pr_partition.rbf file ເຮັດໃຫ້ LEDs ກະພິບຢູ່ທີ່ຄວາມຖີ່ຕົ້ນສະບັບ, ແລະການໂຫຼດ blinking_led_empty.pr_partition.rbf file ເຮັດໃຫ້ໄຟ LEDs ຢູ່ເທິງ. 17. ເພື່ອປ່ຽນເຫດຜົນ SUPR, ເຮັດຊ້ໍາຂັ້ນຕອນ 7 ຂ້າງເທິງເພື່ອເລືອກ impl_blinking_led_supr_new.sof. ຫຼັງຈາກການປ່ຽນແປງນີ້ file, led [0:1] ຕອນນີ້ກະພິບໃນອັດຕາໄວກວ່າກ່ອນ. ອື່ນໆ PR .rbf files ຍັງເຫມາະສົມກັບ .sof ໃຫມ່.
    ໝາຍເຫດ: Assembler ສ້າງ .rbf file ສໍາລັບພາກພື້ນ SUPR. ຢ່າງໃດກໍຕາມ, ທ່ານບໍ່ຄວນໃຊ້ນີ້ file ເພື່ອ reprogram FPGA ໃນ runtime ເນື່ອງຈາກວ່າ SUPR partition ບໍ່ໄດ້ instantiate ຂົວ freeze, ການຄວບຄຸມພາກພື້ນ PR, ແລະເຫດຜົນອື່ນໆໃນລະບົບໂດຍລວມ. ເມື່ອທ່ານເຮັດການປ່ຽນແປງຕາມເຫດຜົນການແບ່ງສ່ວນ SUPR, ທ່ານຕ້ອງ reprogram ເຕັມ .sof file ຈາກການລວບລວມການປັບປຸງການປະຕິບັດ SUPR.

ຮູບທີ 12. ການຂຽນໂປຣແກຣມຄະນະພັດທະນາ
intel Agilex F-Series FPGA ຄະນະກໍາມະການພັດທະນາ - ຄະນະກໍາມະການ1.5.9.1. ແກ້ໄຂບັນຫາຄວາມຜິດພາດການຂຽນໂປລແກລມ PR
ການຮັບປະກັນການຕິດຕັ້ງໂປລແກລມ Intel Quartus Prime ແລະຮາດແວທີ່ເຊື່ອມຕໍ່ຢ່າງຖືກຕ້ອງຊ່ວຍຫຼີກເວັ້ນຄວາມຜິດພາດໃນລະຫວ່າງການຂຽນໂປລແກລມ PR.
ຖ້າທ່ານປະເຊີນກັບຄວາມຜິດພາດການຂຽນໂປລແກລມ PR, ເບິ່ງ "ການແກ້ໄຂບັນຫາຂໍ້ຜິດພາດຂອງການຂຽນໂປລແກລມ PR" ໃນຄູ່ມືຜູ້ໃຊ້ Intel Quartus Prime Pro Edition: ການປັບຄ່າບາງສ່ວນສໍາລັບຄໍາແນະນໍາການແກ້ໄຂບັນຫາເທື່ອລະກ້າວ.
ຂໍ້ມູນທີ່ກ່ຽວຂ້ອງ

ແກ້ໄຂບັນຫາຄວາມຜິດພາດການຂຽນໂປລແກລມ PR

1.5.10. ການປັບປຸງການແບ່ງສ່ວນ SUPR
ທ່ານ​ສາ​ມາດ​ປັບ​ປຸງ​ແກ້​ໄຂ​ພາ​ທິ​ຊັນ SUPR ທີ່​ມີ​ຢູ່​ແລ້ວ​. ຫຼັງ​ຈາກ​ການ​ປັບ​ປຸງ​ການ​ແບ່ງ​ປັນ SUPR​, ທ່ານ​ຕ້ອງ​ການ​ສັງ​ລວມ​ມັນ​, ສ້າງ .sof​ file, ແລະໂຄງການກະດານ, ໂດຍບໍ່ມີການລວບລວມບຸກຄົນອື່ນໆ. ຕົວຢ່າງample, ປະຕິບັດຕາມຂັ້ນຕອນເຫຼົ່ານີ້ເພື່ອປ່ຽນໂມດູນ top_counter_fast.sv ເພື່ອນັບໄວຂຶ້ນ:

  1. ຕັ້ງ impl_blinking_led_supr_new ເປັນການປັບປຸງປະຈຸບັນ.
  2.  ໃນ top_counter_fast.sv file, ປ່ຽນແທນຄຳຖະແຫຼງ count_d + 2 ດ້ວຍ count_d + 4.
  3.  ດໍາເນີນການຄໍາສັ່ງຕໍ່ໄປນີ້ເພື່ອສັງເຄາະຕັນ SUPR ຄືນໃໝ່ ແລະສ້າງ .sof ໃໝ່ file: quartus_sh –flow compile blinking_led \ -c impl_blinking_led_supr_new
    ຜົນໄດ້ຮັບ .sof ໃນປັດຈຸບັນປະກອບມີພາກພື້ນ SUPR ໃຫມ່, ແລະໃຊ້ blinking_led ສໍາລັບຄ່າເລີ່ມຕົ້ນ (ເປີດ) persona.

1.6. ປະຫວັດການແກ້ໄຂເອກະສານຂອງ AN 987: ການປັບປຸງແບບຄົງທີ່ ປະຫວັດການແກ້ໄຂການສອນການປັບຄ່າບາງສ່ວນ

ສະບັບເອກະສານ ລຸ້ນ Intel Quartus Prime ການປ່ຽນແປງ
2022.10.24 22. ການປ່ອຍເອກະສານໃນເບື້ອງຕົ້ນ.

ອັບເດດສໍາລັບ Intel® Quartus®Prime Design Suite: 22.3

ຄຳຕອບຕໍ່ກັບຄຳຖາມທີ່ມັກຖາມເລື້ອຍໆຍອດນິຍົມ:

ສົ່ງຄຳຕິຊົມ

Q ການປັບປຸງແບບຄົງທີ່ການປັບຕັ້ງຄ່າບາງສ່ວນແມ່ນຫຍັງ

A Static Update Partial Reconfiguration ຢູ່ໜ້າ 3

ຖາມຂ້ອຍຕ້ອງການຫຍັງສໍາລັບການສອນນີ້?

ຂໍ້ກໍານົດການສອນໃນໜ້າ 3

ຖາມຂ້ອຍສາມາດໄດ້ຮັບການອອກແບບອ້າງອີງຢູ່ໃສ?

ການ​ອອກ​ແບບ​ກະ​ສານ​ອ້າງ​ອີງ​ດາວ​ໂຫຼດ​ Files ໃນຫນ້າ 5

ຖາມຂ້ອຍຈະສ້າງການອອກແບບ SUPR ໄດ້ແນວໃດ?

A Reference Design Walkthrough ໃນຫນ້າ 6

ຖາມ PR persona ແມ່ນຫຍັງ?

ກໍານົດ Personas ໃນຫນ້າ 10

ຖາມຂ້ອຍຈະປ່ຽນເຫດຜົນ SUPR ແນວໃດ? A ປ່ຽນ SUPR Logic ໃນຫນ້າ 16

A ປ່ຽນ SUPR Logic ໃນຫນ້າ 16

ຖາມຂ້ອຍຈະຂຽນໂປຼແກຼມແນວໃດ?

ແຜນ​ງານ​ຄະນະ​ກຳມະການ​ຢູ່​ໜ້າ 18

ຖາມແມ່ນຫຍັງຄືບັນຫາ PR ທີ່ຮູ້ຈັກແລະຂໍ້ຈໍາກັດ?

ເວທີສະຫນັບສະຫນູນ Intel FPGA: PR

ກະດານພັດທະນາ intel Agilex F-Series FPGA - ໄອຄອນ Online Version
ກະດານພັດທະນາ intel Agilex F-Series FPGA - ໄອຄອນ 154 ສົ່ງຄຳຕິຊົມ

ID: 749443
AN-987
ລຸ້ນ: 2022.10.24

ເອກະສານ / ຊັບພະຍາກອນ

ຄະນະກໍາມະການພັດທະນາ intel Agilex F-Series FPGA [pdf] ຄູ່ມືຜູ້ໃຊ້
Agilex F-Series, Agilex F-Series FPGA ຄະນະກໍາມະການພັດທະນາ, ຄະນະກໍາມະການພັດທະນາ FPGA, ຄະນະກໍາມະການພັດທະນາ, ຄະນະກໍາມະການ

ເອກະສານອ້າງອີງ

ອອກຄໍາເຫັນ

ທີ່ຢູ່ອີເມວຂອງເຈົ້າຈະບໍ່ຖືກເຜີຍແຜ່. ຊ່ອງຂໍ້ມູນທີ່ຕ້ອງການຖືກໝາຍໄວ້ *