AN 987: جامد تازه ڪاري جزوي
Reconfiguration Tutorial
Static Update Partial Reconfiguration Tutorial for Intel®™ Agilex F-Series FPGA ڊولپمينٽ بورڊ
هي ايپليڪيشن نوٽ ڏيکاري ٿو جامد تازه ڪاري جزوي ٻيهر ترتيب ڏيڻ (SUPR) Intel ® F-Series FPGA ڊولپمينٽ بورڊ تي. جزوي ٻيهر ترتيب ڏيڻ (PR) توهان کي انٽيل FPGA جي هڪ حصي کي متحرڪ طور تي ٻيهر ترتيب ڏيڻ جي اجازت ڏئي ٿو، جڏهن ته باقي FPGA هلائڻ جاري آهي. PR توهان جي ڊزائن ۾ هڪ خاص علائقي ۾ ڪيترن ئي شخصيتن کي لاڳو ڪري ٿو، بغير هن علائقي کان ٻاهر علائقن ۾ آپريشن کي متاثر ڪرڻ کان سواء. هي طريقو هيٺ ڏنل تجويز پيش ڪري ٿوtages سسٽم ۾ جن ۾ گھڻا افعال وقت جي حصيداري ڪندا آھن ساڳيا FPGA وسيلن:
- رن-ٽائم ريڪنفيگريشن جي اجازت ڏئي ٿي
- ڊيزائن جي گنجائش وڌائي ٿو
- سسٽم جو وقت گھٽائي ٿو
- ڊيزائن ۾ متحرڪ وقت ملٽيپليڪسنگ افعال کي سپورٽ ڪري ٿو
- بورڊ جي جڳهه جي موثر استعمال سان قيمت ۽ بجلي جو استعمال گھٽائي ٿو
جامد تازه ڪاري جزوي ٻيهر ترتيب ڇا آهي؟
روايتي پي آر ۾، جامد علائقي ۾ ڪا به تبديلي هر شخص جي ٻيهر ترتيب جي ضرورت آهي. جڏهن ته، SUPR سان توهان هڪ خاص علائقو بيان ڪري سگهو ٿا جيڪو تبديلي جي اجازت ڏئي ٿو، بغير ڪنهن شخص جي ٻيهر ترتيب ڏيڻ جي. هي ٽيڪنڪ هڪ ڊزائن جي هڪ حصي لاءِ مفيد آهي جيڪا توهان ممڪن طور تي خطري جي گھٽتائي لاءِ تبديل ڪرڻ چاهيو ٿا، پر اهو ڪڏهن به رن ٽائم جي ٻيهر ترتيب جي ضرورت ناهي.
1.1. سبق جي گهرج
هن سبق جي ضرورت آهي هيٺين:
- Intel Quartus® Prime Pro Edition FPGA عملدرآمد جي وهڪري ۽ منصوبي سان بنيادي واقفيت files.
- Intel Quartus Prime Pro Edition 22.3 جي انسٽاليشن، Intel Agilex ڊوائيس سپورٽ سان.
- FPGA لاڳو ڪرڻ لاء، هڪ جيTAG بينچ تي Intel Agilex F-Series FPGA ڊولپمينٽ بورڊ سان رابطو.
- ڊائون لوڊ ريفرنس ڊيزائن Fileايس. لاڳاپيل معلومات
- جزوي ريڪنفيگريشن يوزر گائيڊ
- جزوي ٻيهر ترتيب ڏيڻ جا سبق
- جزوي ٻيهر ترتيب ڏيڻ آن لائن ٽريننگ
Intel Corporation. سڀ حق محفوظ آهن. Intel، Intel لوگو، ۽ ٻيا Intel نشان آھن Intel Corporation يا ان جي ماتحت ادارن جا ٽريڊ مارڪ. Intel وارنٽي ڏئي ٿو پنهنجي FPGA ۽ سيمڪنڊڪٽر پروڊڪٽس جي ڪارڪردگي کي موجوده وضاحتن مطابق Intel جي معياري وارنٽي مطابق، پر ڪنهن به وقت بغير اطلاع جي ڪنهن به پروڊڪٽس ۽ خدمتن ۾ تبديليون ڪرڻ جو حق محفوظ رکي ٿو. Intel هتي بيان ڪيل ڪنهن به معلومات، پراڊڪٽ، يا خدمت جي ايپليڪيشن يا استعمال مان پيدا ٿيندڙ ڪابه ذميواري يا ذميواري قبول نه ڪندو آهي سواءِ انٽيل طرفان لکڻ ۾ واضح طور تي اتفاق ڪيو ويو. Intel گراهڪن کي صلاح ڏني وئي آهي ته ڪنهن به شايع ٿيل معلومات تي ڀروسو ڪرڻ کان پهريان ۽ پروڊڪٽس يا خدمتن لاءِ آرڊر ڏيڻ کان پهريان ڊوائيس جي وضاحتن جو جديد نسخو حاصل ڪن. * ٻيا نالا ۽ برانڊ ٻين جي ملڪيت طور دعوي ڪري سگھن ٿا.
ISO 9001:2015 رجسٽر ٿيل
1.2. ريفرنس ڊيزائن اوورview
هي ريفرنس ڊيزائن هڪ، 32-bit ڪائونٽر تي مشتمل آهي. بورڊ جي سطح تي، ڊزائين گھڙي کي 50MHz جي ماخذ سان ڳنڍيندي آھي، ۽ پوءِ ٻاھرين کي بورڊ تي چار LEDs سان ڳنڍيندو آھي. ڪائونٽر بِٽ مان آئوٽ پُٽ کي منتخب ڪرڻ، مخصوص تسلسل ۾، LEDs کي هڪ مخصوص تعدد تي بليڪ ڪرڻ جو سبب بڻائيندو آهي. top_counter ماڊل SUPR علائقو آهي.
شڪل 1. فليٽ ريفرنس ڊيزائن
1.3. جامد اپڊيٽ ريجن اوورview
هيٺ ڏنل انگ اکر ڏيکاري ٿو بلاڪ ڊراگرام پي آر ڊيزائن لاءِ جنهن ۾ شامل آهي SUPR علائقو. بلاڪ A مٿيون جامد علائقو آهي. بلاڪ بي SUPR علائقو آهي. بلاڪ سي پي آر ورهاڱي آهي.
شڪل 2. SUPR علائقي سان پي آر ڊيزائن
- ھڪڙو مٿاھين جامد علائقو - ڊزائين منطق تي مشتمل آھي جيڪو تبديل نٿو ڪري. هن علائقي کي تبديل ڪرڻ لاءِ سڀني لاڳاپيل شخصيتن کي ٻيهر گڏ ڪرڻ جي ضرورت آهي. جامد علائقي ۾ ڊزائن جو حصو شامل آهي جيڪو ڪنهن به شخص لاء تبديل نٿو ڪري. ھن علائقي ۾ پردي ۽ بنيادي ڊوائيس جا وسيلا شامل ٿي سگھن ٿا. توهان کي لازمي طور تي SUPR ۽ PR ورهاڱي جي وچ ۾ تمام رابطي کي رجسٽر ڪرڻ گهرجي جامد علائقي ۾. هي گهرج ڪنهن به شخص لاءِ وقت جي بندش کي يقيني بڻائڻ ۾ مدد ڪري ٿي، جامد علائقي جي حوالي سان.
- B SUPR Region - صرف بنيادي منطق تي مشتمل آهي جيڪا ممڪن طور تي خطري جي گھٽتائي لاءِ تبديل ٿي سگهي ٿي، پر ڪڏهن به رن ٽائم جي ٻيهر ترتيب جي ضرورت ناهي. SUPR علائقي ۾ پي آر ورهاڱي جي طور تي ساڳيون گهرجون ۽ پابنديون آهن. SUPR ورهاڱي ۾ صرف بنيادي وسيلن تي مشتمل ٿي سگھي ٿو. تنهن ڪري، SUPR ورهاڱي کي مٿين سطح جي روٽ ورهاڱي جو هڪ ٻار ورهاڱي هجڻ گهرجي جنهن ۾ ڊزائن جي پردي ۽ گھڙين تي مشتمل آهي. SUPR علائقي کي تبديل ڪندي هڪ SRAM اعتراض پيدا ڪري ٿو File (.sof) جيڪو سڀني موجوده مرتب ڪيل Raw Binary سان مطابقت رکي ٿو File (.rbf) fileپي آر ورهاڱي لاءِ سي.
- سي پي آر ورهاڱي-منتقلي منطق تي مشتمل آهي جنهن کي توهان رن ٽائم تي ڪنهن به ڊزائن جي منطق سان ٻيهر پروگرام ڪري سگهو ٿا جيڪو ٺهڪندڙ وقت جي بندش کي پورو ڪري ٿو ۽ حاصل ڪري ٿو.
1.4. ڊائون لوڊ ريفرنس ڊيزائن Files
جزوي ٻيهر ترتيب ڏيڻ وارو سبق ھيٺ ڏنل جڳھ تي موجود آھي: https://github.com/intel/fpga-partial-reconfig
سبق ڊائونلوڊ ڪرڻ لاءِ:
- ڪلون تي ڪلڪ ڪريو يا ڊائون لوڊ ڪريو.
- ڪلڪ ڪريو ڊائون لوڊ زپ. ان زپ ڪريو fpga-partial-reconfig-master.zip file.
- ريفرنس ڊيزائن تائين رسائي حاصل ڪرڻ لاءِ سبق/agilex_pcie_devkit_blinking_led_supr سب فولڊر ڏانھن وڃو.
فليٽ فولڊر ھيٺين تي مشتمل آھي files:
جدول 1. حوالو ڊيزائن Files
File نالو | وصف |
مٿي sv | مٿاهين سطح file جنهن ۾ ڊزائن جي فليٽ تي عملدرآمد شامل آهي. هي ماڊل blinking_led ذيلي ورهاڱي ۽ top_counter ماڊل کي فوري ڪري ٿو. |
t op_counter . sv | مٿين سطح جو 32-bit ڪائونٽر جيڪو ڪنٽرول ڪري ٿو LED [1] سڌو. ڪائونٽر جو رجسٽرڊ آئوٽ ڪنٽرول LED [0] کي ڪنٽرول ڪري ٿو، ۽ Blinking_led ماڊل ذريعي LED [2] ۽ LED [3] کي پڻ طاقت ڏئي ٿو. |
blinking_led. ايس ڊي سي | منصوبي لاء وقت جي پابنديون بيان ڪري ٿو. |
blinking_led. sv | هن سبق ۾، توهان هن ماڊل کي والدين پي آر ورهاڱي ۾ تبديل ڪيو. ماڊل top_counter ماڊل جو رجسٽرڊ آئوٽ پٽ حاصل ڪري ٿو، جيڪو ڪنٽرول ڪري ٿو LED [2] ۽ LED [3]. |
blinking_led.qpf | Intel Quartus Prime پروجيڪٽ file منصوبي ۾ سڀني ترميمن جي فهرست تي مشتمل. |
blinking_led . qs f | Intel Quartus Prime سيٽنگون file پراجيڪٽ لاءِ اسائنمنٽس ۽ سيٽنگون شامل آهن. |
نوٽ: سپر فولڊر ۾ مڪمل سيٽ شامل آهي fileتوهان هن ايپليڪيشن کي استعمال ڪندي ٺاهي رهيا آهيو نوٽ انهن جو حوالو ڏيو files walkthrough دوران ڪنهن به نقطي تي.
1.5. ريفرنس ڊيزائن جي واکٿرو
هيٺيون مرحلا بيان ڪري ٿو SUPR جي عمل کي فليٽ ڊيزائن سان:
- قدم 1: شروع ڪرڻ
- مرحلا 2: ڊيزائن جا حصا ٺاهيو
- مرحلا 3: مختص ڪريو جڳھ ۽ رستن جا علائقا
- قدم 4: شخصيتن جي وضاحت ڪريو
- مرحلا 5: ترميمون ٺاهيو
- مرحلا 6: بنيادي نظرثاني مرتب ڪريو
- مرحلا 7: سيٽ اپ پي آر لاڳو ڪرڻ جي نظرثاني
- قدم 8: SUPR منطق کي تبديل ڪريو
- قدم 9: بورڊ جو پروگرام
شڪل 3. SUPR ڪمپليشن فلو
1.5.1. قدم 1: شروع ڪرڻ
ريفرنس ڊيزائن کي نقل ڪرڻ لاء fileتوهان جي ڪم ڪندڙ ماحول ڏانهن وڃو ۽ blinking_led فليٽ ڊيزائن کي گڏ ڪريو:
- توھان کان پھريان شروع ڪريو، ڊائون لوڊ ڪريو ريفرنس ڊيزائن Files صفحي 5 تي.
- توهان جي ڪم ڪندڙ ماحول ۾ agilex_pcie_devkit_blinking_led_sup ڊاريڪٽري ٺاهيو.
- ڊائون لوڊ ڪيل سبق/agilex_pcie_devkit_blinking_led/flat ذيلي فولڊر کي agilex_pcie_devkit_blinking_led_sup ڊاريڪٽري ۾ نقل ڪريو.
- Intel Quartus Prime Pro Edition سافٽ ويئر ۾، ڪلڪ ڪريو File ➤ اوپن پروجيڪٽ ۽ کوليو /flat/blinking_led.qpf.
- بنيادي ڊيزائن کي گڏ ڪرڻ لاء، ڪلڪ ڪريو پروسيسنگ ➤ ڪمپليشن شروع ڪريو. ٽائمنگ اينالائيزر رپورٽون خود بخود کليل هونديون آهن جڏهن تاليف مڪمل ٿئي ٿي. توھان بند ڪري سگھو ٿا ٽائمنگ اينالائيزر ھاڻي لاءِ.
1.5.2. مرحلا 2: ڊيزائن جا حصا ٺاهيو
هر علائقي لاءِ ڊيزائن جا حصا ٺاهيو جنهن کي توهان جزوي طور تي ٻيهر ترتيب ڏيڻ چاهيو ٿا. توهان پنهنجي پروجيڪٽ ۾ ڪي به آزاد پارٽيشن يا پي آر علائقا ٺاهي سگهو ٿا. PR ورهاڱي جي طور تي u_blinking_led مثال لاءِ ڊيزائن جي ورهاڱي ٺاهڻ لاءِ انهن قدمن تي عمل ڪريو، ۽ u_top_counter مثال کي SUPR علائقي جي طور تي:
- پروجيڪٽ نيويگيٽر ۾ u_blinking_led مثال تي صحيح ڪلڪ ڪريو ۽ ڊيزائن ورهاڱي تي ڪلڪ ڪريو
➤ ٻيهر ترتيب ڏيڻ. هر مثال جي اڳيان هڪ ڊزائين ورشن آئڪن ظاهر ٿئي ٿو جيڪو ورهاڱي جي طور تي مقرر ڪيو ويو آهي.
شڪل 4. ڊزائين پارشن ٺاهڻ - ورجايو قدم 1 u_top_counter مثال لاء هڪ ورهاڱي ٺاهڻ لاء.
- ڪلڪ ڪريو اسائنمينٽس ➤ ڊيزائن پارٽيشن ونڊو. ونڊو پروجيڪٽ ۾ سڀني ڊيزائن ڊويزن کي ڏيکاري ٿو.
شڪل 5. ڊيزائن پارٽيشن ونڊو
- blinking_led Partition Name سيل تي ڊبل ڪلڪ ڪريو ان جو نالو pr_partition ڪرڻ لاءِ. اهڙي طرح، top_counter ورهاڱي جو نالو مٽائي supr_partition.
متبادل طور تي، ھيٺيون لائينون blinking_led.qsf ۾ شامل ڪرڻ سان ھي ڀاڱا ٺھيل آھن:
set_instance_assignment -name PARTITION pr_partition \ -to u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION آن \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition \ -to u_top_counter -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION آن \ -to u_top_counter -entity top
1.5.3. مرحلا 3: مختص ڪريو جڳھ ۽ رستن جا علائقا
ھر بنيادي نظرثاني لاءِ جيڪو توھان ٺاھيو ٿا، ڪمپلر استعمال ڪري ٿو PR ورهاڱي واري علائقي کي مختص ڪرڻ لاءِ لاڳاپيل شخصا ڪور کي محفوظ علائقي ۾ رکڻ لاءِ. توھان جي بنيادي نظرثاني لاءِ ڊوائيس فلور پلان ۾ PR علائقي کي ڳولڻ ۽ تفويض ڪرڻ لاءِ ھيٺين قدمن تي عمل ڪريو:
- پروجيڪٽ نيويگيٽر هيرارڪي ٽيب ۾، ساڄي ڪلڪ ڪريو u_blinking_led مثال، ۽ پوءِ ڪلڪ ڪريو Logic Lock Region ➤ Create New Logic Lock Region. علائقو Logic Lock Regions ونڊو ۾ ظاهر ٿئي ٿو.
- ھڪڙي علائقي جي وضاحت ڪريو 5 جي ويڪر ۽ 5 جي اوچائي.
- اواريجن ڪالمن ۾ u_blinking_led لاءِ جڳهه واري علائقي جي همراهن جي وضاحت ڪريو. اصليت واري علائقي جي هيٺين کاٻي ڪنڊ سان ملندڙ جلندڙ آهي. X166_Y199 جي طور تي اصليت بيان ڪريو. ڪمپائلر حساب ڪري ٿو (X170 Y203) مٿين ساڄي همراهن جي طور تي.
- علائقي لاءِ محفوظ ۽ بنيادي صرف اختيارن کي فعال ڪريو.
- ڊبل ڪلڪ ڪريو روٽنگ علائقي اختيار. Logic Lock Routing Region Settings ڊائلاگ باڪس ظاهر ٿئي ٿو.
- روٽنگ جي قسم لاءِ، توسيع سان مقرر ٿيل چونڊيو. هي اختيار پاڻمرادو تفويض ڪري ٿو هڪ جي توسيع جي ڊيگهه.
- u_top_counter ورهاڱي لاءِ هيٺين وسيلن کي مختص ڪرڻ لاءِ پوئين قدم ورجايو:
• اوچائي-5
• ويڪر-5
• اصل-X173_Y199
• رستي وارو علائقو- هڪ جي توسيع جي ڊيگهه سان توسيع سان طئي ٿيل.
• محفوظ ٿيل- آن
• بنيادي-صرف- آن
شڪل 6. لاجڪ لاڪ ريجنز ونڊو
نوٽ: ڪمپائلر جي روٽنگ لاءِ اضافي لچڪ مهيا ڪرڻ لاءِ، روٽنگ واري علائقي کي جڳهه واري علائقي کان وڏو هجڻ گهرجي.tage، جڏهن ڪمپائلر مختلف شخصيتن کي رستو ڏئي ٿو.
- توھان جي جڳھ واري علائقي کي لازمي طور تي بند ڪرڻ گھرجي blinking_led منطق. چپ پلانر ۾ نوڊ کي ڳولهڻ سان جڳهه واري علائقي کي چونڊڻ لاءِ، Logic Lock Regions ونڊو ۾ u_blinking_led علائقي جي نالي تي ساڄي ڪلڪ ڪريو، ۽ پوءِ ڪلڪ ڪريو Locate Node ➤ Locate in Chip Planner.
- ورهاڱي جي رپورٽن جي تحت، ڊبل ڪلڪ ڪريو رپورٽ ڊيزائن ڊويزن. چپ پلانر نمايان ڪري ٿو ۽ رنگ ڪوڊ علائقي کي.
شڪل 7. چپ پلانر نوڊ جي جڳھ blinking_led لاءِ
متبادل طور تي، هيٺيون لائينون شامل ڪرڻ سان blinking_led.qsf اهي علائقا ٺاهي ٿو:
set_instance_assignment -name PARTITION pr_partition -to \ u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION آن \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition -to u_top_counter \ -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to\u_top_counter -entity top
set_instance_assignment -name PLACE_REGION “X166 Y199 X170 Y203” -to \ u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -name ROUTE_REGION “X165 Y198 X171 Y204” -to \ u_blinking_led
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -to u_blinking_led
set_instance_assignment -name PLACE_REGION “X173 Y199 X177 Y203” -to\u_top_counter
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -name ROUTE_REGION “X172 Y198 X178 Y204” -to \ u_top_counter
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -to u_top_counter
1.5.4. قدم 4: شخصيتن جي وضاحت ڪريو
هي ريفرنس ڊيزائن واحد پي آر ورهاڱي لاءِ ٽن الڳ الڳ شخصيتن جي وضاحت ڪري ٿو، ۽ هڪ SUPR شخصيت SUPR علائقي لاءِ. انهن قدمن تي عمل ڪريو وضاحت ڪرڻ ۽ انهن شخصيتن کي توهان جي پروجيڪٽ ۾ شامل ڪرڻ لاءِ. جيڪڏهن استعمال ڪري رهيا آهيو Intel Quartus Prime Text Editor، بند ڪريو شامل ڪريو file
موجوده پروجيڪٽ تي جڏهن بچت files.
- نئون blinking_led_slow.sv، blinking_led_empty.sv، ۽ top_counter_fast.sv SystemVerilog ٺاهيو files توهان جي ڪم ڪندڙ ڊاريڪٽري ۾. پڪ ڪريو ته blinking_led.sv اڳ ۾ ئي موجود آهي ڪم ڪندڙ ڊاريڪٽري ۾.
- SystemVerilog لاءِ ھيٺ ڏنل مواد داخل ڪريو files:
جدول 2. ريفرنس ڊيزائن Personas SystemVerilog
File نالو وصف ڪوڊ چمڪندڙ_ليڊ_سلو. sv LEDs تيز چمڪندا آهن timescale 1 ps / 1 ps 'default_nettype none
ماڊل blinking_led_slow // ڪلاڪ
ان پٽ تار گھڙي، ان پٽ وائر ري سيٽ، ان پٽ تار [31:01 انسداد،
// ڪنٽرول سگنلز لاءِ ايل اي ڊيز آئوٽ پٽ تار led_two_on،
آئوٽ وائر led_three_on localparam COUNTER_TAP = 27؛
reg led_two_on_r؛ leg led_three_on_r؛ assign led_two_on = led_two_on_r؛ assign led_three_on = led_three_on_r؛ always_ff @(posedge clock) start led_two_on_r <= counter[COUNTER_TAP]؛ led_three_on_r <= counter[COUNTER_TAP]؛ آخر موڊولblinking_led_empty. sv LEDs تي رهن ٿا ٽائيم اسڪيل 1 ps / 1 ps 'default_nettype none module blinking_led_empty( // گھڙي ان پٽ وائر گھڙي، ان پٽ وائر ري سيٽ، ان پٽ وائر [31:01 ڪائونٽر، // ڪنٽرول سگنل لاءِ LEC- آئوٽ پٽ تار led_two_on، آئوٽ پٽ وائر led_three جاري رهيو… File نالو وصف ڪوڊ // LED فعال آهي low assign led_two_on = l'IDO؛ assign led_three_on = 11b0؛ endmodule top_counter_fast.sv ٻيو SUPR 'timescale 1 ps / 1 ps شخصيت Thdefault_nettype none ماڊل top_counter_fast // ڪنٽرول سگنلز لاءِ ايل اي ڊيز آئوٽ پٽ وائر led_one_on، آئوٽ پٽ وائر [31:0] ڳڻپ، // گھڙي ان پٽ وائر ڪلاڪ ) ؛ localparam COUNTER TAP = 23؛ reg [31:0] count_d؛ تفويض شمار = شمار_ ڊي؛ تفويض led_one_on = ount_d[COUNTER_TAP]؛ always_ff @(posedge clock) start count_d <= count_d + 2؛ پڄاڻي : ماڊل - ڪلڪ ڪريو File ➤ Save As ۽ محفوظ ڪريو .sv files موجوده پروجيڪٽ ڊاريڪٽري ۾.
1.5.5. مرحلا 5: ترميمون ٺاهيو
پي آر ڊيزائن فلو استعمال ڪري ٿو پروجيڪٽ جي نظرثاني جي خصوصيت Intel Quartus Prime سافٽ ويئر ۾. توهان جي شروعاتي ڊيزائن بنيادي نظرثاني آهي، جتي توهان FPGA تي جامد علائقي جون حدون ۽ ٻيهر ترتيب ڏيڻ وارا علائقا بيان ڪندا آهيو. بنيادي ترميمن کان، توهان اضافي ترميمون ٺاهيندا آهيو. انهن ترميمن ۾ پي آر علائقن لاءِ مختلف عمل شامل آهن. بهرحال، سڀني پي آر تي عمل درآمد جي نظرثاني ساڳيا مٿين سطح جي جڳهه ۽ رستن جي نتيجن کي بنيادي نظرثاني مان استعمال ڪن ٿا. پي آر ڊيزائن کي گڏ ڪرڻ لاءِ، توهان هر شخص لاءِ پي آر تي عملدرآمد جي نظرثاني ٺاهيندا آهيو. اضافي طور تي، توهان کي لازمي طور تي تفويض ڪرڻ گهرجي يا ته جزوي ٻيهر ترتيب ڏيڻ - بنياد يا جزوي ٻيهر ترتيب ڏيڻ - هر هڪ ترميمن لاءِ Persona Implementation revision type. هيٺ ڏنل جدول هر هڪ لاءِ نظرثاني جو نالو ۽ نظرثاني جو قسم لسٽ ڪري ٿو. impl_blinking_led_supr_new.qsf نظر ثاني SUPR شخصيت تي عمل درآمد آهي.
جدول 3. نظرثاني جا نالا ۽ قسم
نظرثاني جو نالو | نظرثاني جو قسم |
blinking_led | جزوي ٻيهر ترتيب ڏيڻ - بنياد |
blinking_led_default | جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد |
چمڪندڙ_ليڊ_سلو | جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد |
blinking_led_empty | جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد |
impl_blinking_led_supr_new | جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد |
1.5.5.1. بنيادي ترميمي سيٽنگ
blinking_led کي بنيادي نظرثاني جي طور تي سيٽ ڪرڻ لاءِ ھيٺين قدمن تي عمل ڪريو:
- ڪلڪ ڪريو پروجيڪٽ ➤ نظرثانيون.
- نظر ثاني جي قسم لاءِ، جزوي ريڪنفيگريشن چونڊيو - بنياد.
ھي قدم ھيٺين کي شامل ڪري ٿو blinking_led.qsf:
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
1.5.5.2. ٺاھڻ تي عملدرآمد جي نظرثاني
عمل درآمد جي ترميمن کي ٺاهڻ لاء انهن قدمن تي عمل ڪريو:
- Revisions dialog box ۾، ڊبل ڪلڪ ڪريو < >.
- نظرثاني جي نالي ۾، وضاحت ڪريو blinking_led_default ۽ چونڊيو blinking_led لاءِ نظرثاني جي بنياد تي.
- نظر ثاني جي قسم لاءِ، جزوي ريڪنفيگريشن کي منتخب ڪريو - Persona Implementation.
- غير فعال ڪريو سيٽ جي طور تي موجوده نظرثاني اختيار.
- ورجايو مرحلا 2 کان 5 تائين ٻين تي عمل ڪرڻ واري ترميمن لاءِ نظرثاني جو قسم مقرر ڪرڻ لاءِ:
نظرثاني جو نالو | نظرثاني جو قسم | Revisio جي بنياد تيn |
چمڪندڙ_ليڊ_سلو | جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد | blinking_led |
blinking_led_empty | جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد | blinking_led |
impl_blinking_led_supr_new | جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد | blinking_led |
شڪل 8. ٺاھڻ تي عملدرآمد جي نظرثاني
هر .qsf file ھاڻي ھيٺ ڏنل تفويض تي مشتمل آھي:
set_global_assignment -name REVISION_TYPE PR_IMPL
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_top_counter
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_blinking_led
1.5.6. مرحلا 6: بنيادي نظرثاني مرتب ڪريو
انهن قدمن تي عمل ڪريو بنيادي نظرثاني کي گڏ ڪرڻ ۽ ايڪسپورٽ ڪرڻ لاءِ جامد ۽ SUPR علائقن کي بعد ۾ استعمال ڪرڻ لاءِ نئين پي آر شخصيتن لاءِ عملدرآمد ترميمن ۾:
- سيٽ ڪريو blinking_led کي موجوده نظرثاني جي طور تي جيڪڏهن اڳ ۾ ئي سيٽ نه ڪيو ويو آهي.
- ڊيزائن پارٽيشنز ونڊو ۾، پري ساڄي ڪالم جي ڀرسان (...) تي ڪلڪ ڪريو ۽ پوسٽ فائنل ايڪسپورٽ کي فعال ڪريو File ڪالم. توھان پڻ ڪالمن جي ترتيب کي غير فعال يا تبديل ڪري سگھو ٿا.
- هر تالیف کان پوءِ پي آر پليپشن ڊيزائن جي ورهاڱي جي حتمي تصوير کي خودڪار طور تي برآمد ڪرڻ لاءِ، پوسٽ فائنل ايڪسپورٽ لاءِ هيٺ ڏنل وضاحت ڪريو File روٽ ۽ SUPR ورهاڱي لاء اختيار. .qdb files ڊفالٽ طور پروجيڪٽ ڊاريڪٽري ڏانهن برآمد ڪريو.
روٽ_پارٽيشن—blinking_led_static.qdb
• supr_partition-blinking_led_supr_partition_final.qdb
شڪل 9. ڊيزائن پارٽيشن ونڊو ۾ آٽو ايڪسپورٽمتبادل طور تي، هيٺيون .qsf اسائنمينٽس هر ڪمپليشن کان پوءِ پاڻمرادو ورهاڱي کي برآمد ڪن ٿيون:
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | - مٿي جو ادارو
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity top - blinking_led بنيادي نظرثاني کي گڏ ڪرڻ لاء، ڪلڪ ڪريو پروسيسنگ ➤ شروع ڪريو
تاليف. متبادل طور تي، توھان ھيٺ ڏنل حڪم استعمال ڪري سگھوٿا ھن نظرثاني کي گڏ ڪرڻ لاءِ:
quartus_sh -flow compile blinking_led -c blinking_led ڪامياب تاليف کان پوء، ھيٺ ڏنل files پروجيڪٽ ڊاريڪٽري ۾ ظاهر ٿئي ٿو:
• blinking_led.sof
• blinking_led.pr_partition.rbf
• blinking_led.supr_partition.rbf
• blinking_led_static.qdb
• blinking_led_supr_partition_final.qdb
1.5.7. مرحلا 7: سيٽ اپ ڪريو پي آر لاڳو ڪرڻ جي نظرثاني
توھان کي تيار ڪرڻ گھرجي پي آر تي عمل درآمد جي نظرثانين کان اڳ توھان ٺاھي سگھوٿا پي آر بٽ اسٽريم ڊيوائس پروگرامنگ لاءِ. ھن سيٽ اپ ۾ جامد علائقو .qdb شامل ڪرڻ شامل آھي file ذريعو file هر عمل جي نظرثاني لاء. اضافي طور تي، توهان کي وضاحت ڪرڻ گهرجي
PR علائقي جي لاڳاپيل ادارو. پي آر تي عمل درآمد جي ترميمن کي ترتيب ڏيڻ لاءِ انهن قدمن تي عمل ڪريو:
- موجوده نظر ثاني کي سيٽ ڪرڻ لاء، ڪلڪ ڪريو پروجيڪٽ ➤ نظرثانيون، چونڊيو blinking_led_default جيئن نظرثاني جو نالو، ۽ پوء ڪلڪ ڪريو موجوده سيٽ ڪريو. متبادل طور تي، توھان منتخب ڪري سگھو ٿا موجوده نظرثاني مين Intel Quartus Prime ٽول بار تي.
- هن عمل درآمد جي نظرثاني لاءِ صحيح ماخذ جي تصديق ڪرڻ لاءِ، ڪلڪ ڪريو پروجيڪٽ ➤ شامل ڪريو/هٽايو Fileايس پروجيڪٽ ۾. پڪ ڪريو ته blinking_led.sv file ۾ ظاهر ٿئي ٿو file فهرست.
- صحيح ذريعو جي تصديق ڪرڻ لاء file عمل درآمد جي نظرثانين لاءِ، ڪلڪ ڪريو پروجيڪٽ ➤ شامل ڪريو/هٽايو files پروجيڪٽ ۾، ۽ ھيٺ ڏنل ماخذ شامل ڪريو files تي عملدرآمد جي ترميمن لاء. جيڪڏهن موجود هجي، هٽايو blinking_led.sv منصوبي جي فهرست مان files.
Iضمير جي نظرثاني جو نالو ذريعو File blinking_led_empty blinking_led_empty.sv چمڪندڙ_ليڊ_سلو blinking_led_slow.sv - سيٽ ڪريو blinking_led_default جيئن موجوده نظرثاني.
- وضاحت ڪرڻ لاءِ .qdb file روٽ_پارٽيشن لاءِ ماخذ جي طور تي، ڪلڪ ڪريو اسائنمينٽس ➤ ڊيزائن پارٽيشن ونڊو. ورهاڱي جي ڊيٽابيس تي ڊبل ڪلڪ ڪريو File سيل ۽ وضاحت ڪريو blinking_led_static.qdb file.
- اهڙي طرح، وضاحت ڪريو blinking_led_supr_partition_final.qdb جيئن ورهاڱي جي ڊيٽابيس File supr_partition لاءِ.
شڪل 10.
متبادل طور تي، .qdb جي وضاحت ڪرڻ لاءِ ھيٺيون .qsf اسائنمينٽ استعمال ڪريو:
set_instance_assignment - نالو QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
set_instance_assignment - نالو QDB_FILE_PARTITION \ blinking_led_supr_partition_final.qdb -to u_top_counter - ڊيزائن پارٽيشنز ونڊو ۾، سڀ کان پري ساڄي ڪالم جي ڀرسان (…) تي ڪلڪ ڪريو ۽ Entity Re-binding ڪالم کي فعال ڪريو.
-
Entity Re-binding cell ۾، PR ورهاڱي لاءِ نئين اداري جو نالو بيان ڪريو جيڪو توھان تبديل ڪري رھيا آھيو موجوده تي عمل درآمد جي نظرثاني ۾. blinking_led_default عمل درآمد جي نظرثاني لاءِ، اداري جو نالو آھي blinking_led. انهي حالت ۾، توهان اوور رائٽ ڪري رهيا آهيو u_blinking_led مثال کان بنيادي ترميمي گڏ ڪرڻ کان نئين اداري سان گڏ blinking_led. ٻين تي عملدرآمد لاءِ، ھيٺ ڏنل جدول ڏانھن رجوع ڪريو:
نظرثاني ادارو ٻيهر پابند ڪرڻ وارو قدر چمڪندڙ_ليڊ_سلو چمڪندڙ_ليڊ_سلو blinking_led_empty blinking_led_empty شڪل 11. اينٽيٽي ريبائنڊنگ
متبادل طور تي، توھان ھيٺيون لائينون استعمال ڪري سگھوٿا ھر ھڪ ترميم جي .qsf ۾ تفويض سيٽ ڪرڻ لاءِ:
##blinking_led_default.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led \ -to u_blinking_led
##blinking_led_slow.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
##blinking_led_empty.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - supr_partition لاءِ Entity Re-binding cell مان place_holder متن کي حذف ڪريو.
- ڊزائن کي گڏ ڪرڻ لاء، ڪلڪ ڪريو پروسيسنگ ➤ ڪمپليشن شروع ڪريو. متبادل طور تي، ھن منصوبي کي گڏ ڪرڻ لاء ھيٺ ڏنل حڪم استعمال ڪريو: quartus_sh -flow compile blinking_led -c blinking_led_default
- blinking_led_slow ۽ blinking_led_empty تي عملدرآمد جي ترميمن کي تيار ڪرڻ ۽ گڏ ڪرڻ لاءِ مرحلا 4 کان 11 تائين ورجايو.
1.5.8. قدم 8: SUPR منطق کي تبديل ڪريو
SUPR ورهاڱي ۾ منطق جي ڪارڪردگي کي تبديل ڪرڻ لاء، توهان کي SUPR ورهاڱي جو ذريعو تبديل ڪرڻو پوندو. SUPR ورهاڱي ۾ u_top_counter مثال کي top_counter_fast اداري سان تبديل ڪرڻ لاءِ هيٺيان قدم مڪمل ڪريو.
- SUPR عمل درآمد واري نظرثاني کي موجوده طور تي سيٽ ڪرڻ لاءِ، ڪلڪ ڪريو پروجيڪٽ ➤ ترميمون ۽ سيٽ ڪريو impl_blinking_led_supr_new کي موجوده ترميم جي طور تي، يا چونڊيو
Intel Quartus Prime مين ٽول بار تي نظرثاني. - صحيح ذريعو جي تصديق ڪرڻ لاء file عملدرآمد جي نظرثاني لاءِ، ڪلڪ ڪريو پروجيڪٽ ➤
شامل ڪريو / هٽايو files پروجيڪٽ ۾، ۽ تصديق ڪريو ته top_counter_fast.sv ذريعو آهي impl_blinking_led_supr_new عملدرآمد جي نظرثاني لاءِ. جيڪڏهن موجود هجي، top_counter.sv کي هٽايو پروجيڪٽ جي فهرست مان files. - وضاحت ڪرڻ لاءِ .qdb file روٽ ورهاڱي سان لاڳاپيل، ڪلڪ ڪريو Assignments ➤ Design Partitions Window، ۽ پوءِ ڊبل ڪلڪ ڪريو Partition Database File سيل blinking_led_static.qdb بيان ڪرڻ لاءِ.
متبادل طور تي، ھيٺ ڏنل حڪم استعمال ڪريو ھن کي تفويض ڪرڻ لاء file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to | - pr_partition لاءِ اينٽيٽي ري بائنڊنگ سيل ۾، مناسب اداري جو نالو بيان ڪريو. ان لاءِ اڳوڻيample، blinking_led_empty ادارو بيان ڪريو. انهي صورت ۾، توهان اوور رائٽ ڪري رهيا آهيو u_blinking_led مثال جي بنياد تي نظر ثاني گڏ ڪرڻ کان نئين اداري linking_led_empty سان. هيٺ ڏنل لائين ھاڻي .qsf ۾ موجود آھي:
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - انٽيٽي ري-بائنڊنگ سيل ۾ supr_partition، بيان ڪريو top_counter_fast ادارو. top_counter_fast جامد اداري جو نالو آهي جيڪو u_top_counter کي تبديل ڪري ٿو جڏهن توهان SUPR مڪمل ڪريو ٿا.
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to u_top_counter
- ڊزائن کي گڏ ڪرڻ لاء، ڪلڪ ڪريو پروسيسنگ ➤ ڪمپليشن شروع ڪريو. متبادل طور تي، ھن منصوبي جي نظرثاني کي گڏ ڪرڻ لاء ھيٺ ڏنل حڪم استعمال ڪريو: quartus_sh -flow compile blinking_led -c \ impl_blinking_led_supr_new
1.5.9. قدم 9: بورڊ جو پروگرام
Intel Agilex F-Series FPGA ڊولپمينٽ بورڊ کي ڳنڍڻ ۽ پروگرام ڪرڻ لاءِ انهن قدمن تي عمل ڪريو.
- پاور سپلائي کي Intel Agilex F-Series FPGA ڊولپمينٽ بورڊ سان ڳنڍيو.
- USB ڪيبل ڳنڍيو توهان جي PC USB پورٽ ۽ يو ايس بي پروگرامنگ هارڊويئر جي وچ ۾ ڊولپمينٽ بورڊ تي.
- Intel Quartus Prime سافٽ ويئر کوليو، ۽ پوءِ ڪلڪ ڪريو اوزار ➤ پروگرامر. پروگرامنگ هڪ ڊولپمينٽ بورڊ ڏانهن وڃو.
- پروگرامر ۾، ڪلڪ ڪريو هارڊويئر سيٽ اپ، ۽ پوء چونڊيو USB-Blaster.
- ڪلڪ ڪريو خودڪار ڳولڻ، ۽ پوء چونڊيو AGFB014R24B ڊوائيس.
- OK تي ڪلڪ ڪريو. Intel Quartus Prime سافٽ ويئر پروگرامر کي بورڊ تي ٽي FPGA ڊوائيسز سان ڳولي ٿو ۽ تازه ڪاري ڪري ٿو.
- منتخب ڪريو AGFB014R24B ڊوائيس، ڪلڪ ڪريو تبديل ڪريو File، ۽ لوڊ ڪريو blinking_led_default.sof file.
- blinking_led_default.sof لاءِ پروگرام/ڪانفيگر کي فعال ڪريو file.
- ڪلڪ ڪريو شروع ڪريو ۽ 100٪ تائين پهچڻ لاء ترقي واري بار جو انتظار ڪريو.
- بورڊ تي LEDs جو مشاهدو ڪريو چمڪندڙ.
- صرف PR علائقي کي پروگرام ڪرڻ لاءِ، ساڄي ڪلڪ ڪريو blinking_led_default.sof file پروگرامر ۾ ۽ پي آر پروگرامنگ شامل ڪريو تي ڪلڪ ڪريو File. blinking_led_slow.pr_partition.rbf چونڊيو file.
- blinking_led_default.sof لاءِ پروگرام/ڪانفيگر کي غير فعال ڪريو file.
- blinking_led_slow.pr_partition.rbf لاءِ پروگرام/ڪانفيگر کي فعال ڪريو file, ۽ پوء تي ڪلڪ ڪريو شروع. بورڊ تي، LED[0] ۽ LED[1] جو مشاهدو جاري رکو. جڏهن ترقي وارو بار 100٪ تي پهچي ٿو، LED [2] ۽ LED [3] چمڪندڙ سست.
- PR علائقي کي ٻيهر پروگرام ڪرڻ لاءِ، .rbf تي صحيح ڪلڪ ڪريو file پروگرامر ۾، ۽ پوء ڪلڪ ڪريو پي آر پروگرامنگ تبديل ڪريو File.
- .rbf چونڊيو files ٻين ٻن شخصيتن لاء بورڊ تي رويي کي ڏسڻ لاء. لوڊ ڪندي blinking_led_default.pr_partition.rbf file LEDs کي اصل فريڪوئنسي تي بليڪ ڪرڻ جو سبب بڻائي ٿو، ۽ لوڊ ڪندي blinking_led_empty.pr_partition.rbf file LEDs کي آن رهڻ جو سبب بڻائيندو آهي. 17. SUPR منطق کي تبديل ڪرڻ لاءِ، مٿي ڏنل قدم 7 کي ورجايو impl_blinking_led_supr_new.sof کي چونڊڻ لاءِ. ھن کي تبديل ڪرڻ کان پوء file, led [0:1] هاڻي اڳي کان وڌيڪ تيز رفتار سان چمڪي ٿو. ٻيو PR .rbf files پڻ نئين .sof سان هم آهنگ آهن.
نوٽ: جمع ڪندڙ هڪ .rbf ٺاهي ٿو file SUPR علائقي لاء. تنهن هوندي به، توهان هن کي استعمال نه ڪرڻ گهرجي file رن ٽائم تي FPGA کي ٻيهر پروگرام ڪرڻ لاءِ ڇاڪاڻ ته SUPR ورهاڱي منجمد پل، پي آر ريجن ڪنٽرولر، ۽ مجموعي نظام ۾ ٻين منطق کي فوري نه ٿو ڪري. جڏهن توهان SUPR ورهاڱي جي منطق ۾ تبديليون ڪندا آهيو، توهان کي مڪمل .sof کي ٻيهر پروگرام ڪرڻ گهرجي file SUPR عملدرآمد جي نظرثاني جي تاليف مان.
شڪل 12. پروگرامنگ ڊولپمينٽ بورڊ
1.5.9.1. پي آر پروگرامنگ جي غلطين کي حل ڪرڻ
Intel Quartus Prime Programmer جي مناسب سيٽ اپ کي يقيني بڻائڻ ۽ ڳنڍيل هارڊويئر پي آر پروگرامنگ دوران ڪنهن به غلطي کان بچڻ ۾ مدد ڪري ٿي.
جيڪڏهن توهان ڪنهن پي آر پروگرامنگ جي غلطين کي منهن ڏيو ٿا، انٽيل ڪوارٽس پرائم پرو ايڊيشن يوزر گائيڊ ۾ ”ٽربل شوٽنگ پي آر پروگرامنگ ايررز“ جو حوالو ڏيو: جزوي ٻيهر ترتيب ڏيڻ لاءِ قدم بہ قدم مسئلا حل ڪرڻ جا طريقا.
لاڳاپيل معلومات
پي آر پروگرامنگ جي غلطين کي حل ڪرڻ
1.5.10. SUPR ورهاڱي کي تبديل ڪرڻ
توھان تبديل ڪري سگھوٿا موجوده SUPR ورهاڱي کي. SUPR ورهاڱي کي تبديل ڪرڻ کان پوء، توهان کي ان کي گڏ ڪرڻ گهرجي، .sof ٺاهي file، ۽ بورڊ کي پروگرام ڪريو، بغير ٻين شخصيتن کي گڏ ڪرڻ جي. مثال طورample، انهن قدمن تي عمل ڪريو top_counter_fast.sv ماڊل کي تيزيءَ سان ڳڻڻ لاءِ تبديل ڪرڻ لاءِ:
- سيٽ ڪريو impl_blinking_led_supr_new موجوده ترميم جي طور تي.
- top_counter_fast.sv ۾ file, count_d + 2 بيان کي count_d + 4 سان تبديل ڪريو.
- SUPR بلاڪ کي ٻيهر ترتيب ڏيڻ ۽ نئين .sof پيدا ڪرڻ لاءِ هيٺين حڪمن کي هلايو file: quartus_sh -flow compile blinking_led \ -c impl_blinking_led_supr_new
نتيجو .sof هاڻي نئين SUPR علائقي تي مشتمل آهي، ۽ ڊفالٽ (پاور-آن) شخصيت لاءِ blinking_led استعمال ڪري ٿو.
1.6. AN 987 جي دستاويز جي نظرثاني جي تاريخ: جامد تازه ڪاري جزوي ٻيهر ترتيب ڏيڻ واري سبق جي نظرثاني جي تاريخ
دستاويزي نسخو | Intel Quartus Prime نسخو | تبديليون |
2022.10.24 | 22. | دستاويز جي شروعاتي رليز. |
Intel® Quartus®Prime Design Suite لاءِ اپڊيٽ ڪيو ويو: 22.3
مٿين سوالن جا جواب:
موٽ موڪليو
سوال ڇا آهي جامد اپڊيٽ جزوي ٻيهر ترتيب ڏيڻ
هڪ جامد تازه ڪاري جزوي ريڪنفيگريشن صفحي 3 تي
سوال مون کي هن سبق لاءِ ڇا گهرجي؟
هڪ سبق جي گهرج صفحي 3 تي
Q مان ريفرنس ڊيزائن ڪٿي حاصل ڪري سگهان ٿو؟
هڪ ڊائون لوڊ ريفرنس ڊيزائن Files صفحي 5 تي
سوال مان هڪ SUPR ڊيزائن ڪيئن ٺاهي سگهان ٿو؟
صفحي 6 تي هڪ ريفرنس ڊيزائن جي واک تھرو
سوال هڪ پي آر شخصيت ڇا آهي؟
صفحو 10 تي شخصيتن جي وضاحت ڪريو
سوال آئون SUPR منطق کي ڪيئن تبديل ڪري سگهان ٿو؟ A Change the SUPR Logic صفحي 16 تي
A Change the SUPR Logic صفحي 16 تي
سوال آئون بورڊ کي ڪيئن پروگرام ڪري سگهان ٿو؟
صفحي 18 تي بورڊ جو پروگرام
سوال پي آر جا ڄاڻايل مسئلا ۽ حدون ڇا آهن؟
هڪ Intel FPGA سپورٽ فورمز: PR
آن لائين ورجن
موٽ موڪليو
ID: 749443
AN-987
نسخو: 2022.10.24
دستاويز / وسيلا
![]() |
Intel Agilex F-Series FPGA ڊولپمينٽ بورڊ [pdf] استعمال ڪندڙ ھدايت Agilex F-Series، Agilex F-Series FPGA ڊولپمينٽ بورڊ، FPGA ڊولپمينٽ بورڊ، ڊولپمينٽ بورڊ، بورڊ |