intel 750856 Agilex FPGA پراختیایی بورډ
د محصول معلومات
د دې حوالې ډیزاین د Intel Agilex F-Series FPGA پراختیایی بورډ لپاره دی. دا د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP کاروي او د PR ساده سیمه لري. د Intel Agilex Device External Host Hardware Setup د بهرنۍ وسیله (Helper FPGA)، یو DUT FPGA، او ستاسو د بهرني کوربه ډیزاین څخه جوړ دی. په بهرنۍ وسیله کې د کوربه ډیزاین د PR پروسې کوربه کولو مسولیت لري. د PR پنونه د دواړو وسیلو سره وصل کولو لپاره کارول کیږي او کیدی شي کوم موجود کارونکي I/Os وي.
د محصول کارولو لارښوونې
د بهرني کوربه ترتیب
د بهرني کوربه ترتیب کولو لپاره، دا ګامونه تعقیب کړئ:
- د PR پروسې کوربه کولو لپاره په بهرني وسیله کې د کوربه ډیزاین رامینځته کړئ.
- د PR پنونه د بهرني وسیلې څخه د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP سره په DUT FPGA کې وصل کړئ.
- د کوربه ډیزاین څخه د Intel Agilex Avalon سټریمینګ انٹرفیس پنونو ته د سټریم تنظیم کولو ډاټا چې د IP څخه د PR لاسي صنایعو سره مطابقت لري.
د کنفیګریشن پن عملیاتو له لارې جزوی بیا تنظیم کول
لاندې ترتیب د ترتیب کولو پنونو له لارې د جزوي بیا تنظیم کولو عملیات تشریح کوي:
- د pr_request پن تاکید کړئ چې د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP سره وصل شوی.
- IP یو مصروف سیګنال تاکید کوي ترڅو وښیې چې د PR پروسه په پرمختګ کې ده (اختیاري).
- که د ترتیب کولو سیسټم د PR عملیاتو لپاره چمتو وي، د avst_ready پن ټینګار شوی، دا په ګوته کوي چې دا د معلوماتو منلو ته چمتو دی.
- د PR ترتیب کولو ډیټا د avst_data پنونو او avst_valid پن باندې جریان کړئ ، د بیک فشار سره د ډیټا لیږد لپاره د Avalon سټیمینګ توضیحاتو تعقیب.
- جریان بندیږي کله چې د avst_ready پن بې باوره شي.
- د avst_ready پن په نښه کړئ ترڅو وښیې چې د PR عملیاتو لپاره نور ډیټا ته اړتیا نشته.
- د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP د پروسې پای په ګوته کولو لپاره بوخت سیګنال ردوي (اختیاري).
د کنفیګریشن پنونو (بهرنی کوربه) حوالې ډیزاین له لارې جزوی بیا تنظیم کول
د دې غوښتنلیک یادښت د Intel® Agilex® F-Series FPGA پراختیایی بورډ کې د ترتیب کولو پنونو (بهرني کوربه) له لارې جزوي بیا تنظیم کول ښیې.
د حوالې ډیزاین اوورview
د جزوي بیا تنظیم کولو (PR) ځانګړتیا تاسو ته اجازه درکوي د FPGA یوه برخه په متحرک ډول تنظیم کړئ، پداسې حال کې چې د FPGA پاتې ډیزاین فعالیت ته دوام ورکوي. تاسو کولی شئ په خپل ډیزاین کې د یوې ځانګړې سیمې لپاره ډیری شخصیتونه رامینځته کړئ چې د دې سیمې څخه بهر سیمو کې عملیات اغیزه نه کوي. دا میتودولوژي په سیسټمونو کې مؤثره ده چیرې چې ډیری دندې د ورته FPGA وسیلې سرچینې شریکوي. د Intel Quartus® Prime Pro Edition سافټویر اوسنی نسخه د جزوی بیا تنظیم کولو لپاره نوی او ساده تالیف جریان معرفي کوي. د دې Intel Agilex حوالې ډیزاین د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP کاروي او د PR ساده سیمه لري.
د انټیل اګیلیکس وسیله د بهرني کوربه هارډویر تنظیم کول
د بهرني کوربه ترتیب
په بهرني کوربه ترتیب کې ، تاسو باید لومړی د PR پروسې کوربه کولو لپاره په بهرني وسیله کې د کوربه ډیزاین رامینځته کړئ ، لکه څنګه چې د Intel Agilex Device External Host Hardware Setup ښیي. د کوربه ډیزاین د Intel Agilex Avalon سټریمینګ انٹرفیس پنونو ته د ترتیب کولو ډیټا جریان کوي چې د PR لاسي سیګنالونو سره مطابقت لري چې د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP څخه راځي. هغه PR پنونه چې تاسو یې د دواړو وسیلو سره وصل کولو لپاره کاروئ ممکن هر موجود کارونکي I/Os وي.
لاندې ترتیب د تنظیم کولو پن عملیاتو له لارې جزوي بیا تنظیم کول تشریح کوي:
- لومړی د pr_request پن تاکید کړئ چې د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP سره وصل دی.
- IP یو مصروف سیګنال تاکید کوي ترڅو وښیې چې د PR پروسه په پرمختګ کې ده (اختیاري).
- که چیرې د ترتیب کولو سیسټم د PR عملیاتو ترسره کولو لپاره چمتو وي، د avst_ready پن ټینګار کوي چې دا د معلوماتو منلو ته چمتو دی.
- د PR ترتیب کولو ډیټا د avst_data پنونو او avst_valid پن باندې جریان کول پیل کړئ ، پداسې حال کې چې د بیک فشار سره د ډیټا لیږد لپاره د Avalon سټیمینګ مشخصات مشاهده کوئ.
- جریان بندیږي کله چې د avst_ready پن بې باوره شي.
- د ټولو ترتیب کولو ډیټا سټینګ کولو وروسته ، د avst_ready پن بې باوره شوی ترڅو وښیې چې د PR عملیاتو لپاره نور ډیټا ته اړتیا نشته.
- د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP ډیسټریټ مصروف سیګنال د پروسې پای په ګوته کوي (اختیاري).
- تاسو کولی شئ د pr_done او pr_error پنونه چیک کړئ ترڅو تایید کړئ چې ایا د PR عملیات په بریالیتوب سره بشپړ شوي. که کومه تېروتنه رامنځ ته شي، لکه د نسخې په چک کولو او د جواز چک کولو کې ناکامي، د PR عملیات پای ته رسیږي.
اړوند معلومات
- د Intel Agilex F-Series FPGA پرمختیا کټ Web پاڼه
- د Intel Agilex F-Series FPGA پراختیایی کټ کارن لارښود
- د Intel Quartus Prime Pro Edition د کارونکي لارښود: جزوي بیا تنظیم کول
د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP
د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر ته اړتیا ده چې د PR عملیاتو لپاره د PR ډیټا جریان کولو لپاره د ترتیب کولو پنونو څخه کار واخلي. تاسو باید د جزوی بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP ټولې لوړې کچې بندرونه د pr_request پن سره وصل کړئ ترڅو د کور څخه د خوندي وسیلې مدیر (SDM) سره د کوربه لاس مینځلو ته اجازه ورکړئ. ستاسو د MSEL ترتیب سره سم، SDM ټاکي چې کوم ډول تشکیلاتي پنونه کارول کیږي.
د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP
جزوی بیا تنظیم کول د خارجی ترتیب کنټرولر پیرامیټر ترتیبات
پیرامیټر | ارزښت | تفصیل |
بوخت انٹرفیس فعال کړئ | فعال کړئ or
غیر فعال کړئ |
تاسو ته اجازه درکوي چې د مصروف انٹرفیس فعال یا غیر فعال کړئ، کوم چې یو سیګنال تاکید کوي ترڅو دا په ګوته کړي چې د PR پروسس د بهرني ترتیب په جریان کې د پرمختګ په حال کې دی.
ډیفالټ ترتیب دی غیر فعال کړئ. |
جزوی بیا تنظیم کول خارجی ترتیب کنټرولر بندرونه
د بندر نوم | عرض | هدایت | فعالیت |
pr_request | 1 | داخلول | ښیې چې د PR پروسه پیل ته چمتو ده. سیګنال یو نالی دی چې د هیڅ ساعت سیګنال سره همغږي نه وي. |
pr_error | 2 | محصول | د بیا تنظیم کولو جزوي تېروتنه په ګوته کوي:
• 2'b01—عمومي PR تېروتنه • 2'b11— د بټ سټریم نه مطابقت کېدونکی تېروتنه دا سیګنالونه د کوم ساعت سرچینې سره همغږي نه دي. |
pr_done | 1 | محصول | دا په ګوته کوي چې د PR پروسه بشپړه شوې ده. سیګنال یو نالی دی چې د هیڅ ساعت سیګنال سره همغږي نه وي. |
start_addr | 1 | داخلول | په فعال سیریل فلش کې د PR ډیټا پیل پته مشخص کوي. تاسو دا سیګنال د یو غوره کولو له لارې فعال کړئ Avalon®-ST or فعال سریال لپاره د Avalon-ST پنونه یا فعال سریال پنونه فعال کړئ پیرامیټر سیګنال یو نالی دی چې د هیڅ ساعت سیګنال سره همغږي نه وي. |
بیا تنظیمول | 1 | داخلول | فعال لوړ، همغږي سیګنال. |
out_clk | 1 | محصول | د ساعت سرچینه چې د داخلي اوسیلیټر څخه رامینځته کیږي. |
بوخت | 1 | محصول | IP دا سیګنال تاکید کوي ترڅو په پرمختګ کې د PR ډیټا لیږد په ګوته کړي. تاسو دا سیګنال په غوره کولو سره فعال کړئ فعال کړئ لپاره مصروف انٹرفیس فعال کړئ پیرامیټر |
د حوالې ډیزاین اړتیاوې
د دې حوالې ډیزاین کارول لاندې اړتیاو ته اړتیا لري:
- د Intel Agilex وسیلې کورنۍ لپاره د ملاتړ سره د Intel Quartus Prime Pro Edition 22.3 نسخه نصب کول.
- په بنچ کې د Intel Agilex F-Series FPGA پراختیایی بورډ سره اړیکه.
- د ډیزاین نسخه ډاونلوډ کړئampپه لاندې ځای کې شتون لري: https://github.com/intel/fpga-partial-reconfig.
د ډیزاین ډاونلوډ کولو لپاره exampLe:
- کلون کلیک وکړئ یا ډاونلوډ کړئ.
- زپ ډاونلوډ کړئ کلیک وکړئ. fpga-partial-reconfig-master.zip خلاص کړئ file.
- د حوالې ډیزاین ته د لاسرسي لپاره ټیوټوریلونو/agilex_external_pr_configuration فرعي فولډر ته لاړشئ.
د حوالې ډیزاین واک تھرو
لاندې مرحلې د Intel Agilex F-Series FPGA پراختیایی بورډ کې د ترتیب کولو پنونو (بهرني کوربه) له لارې د جزوي بیا تنظیم کولو پلي کول تشریح کوي:
- 1 ګام: پیل کول
- لومړی ګام: د ډیزاین برخې جوړول
- لومړی ګام: د ځای پر ځای کولو او روټینګ سیمو تخصیص
- لومړی ګام: د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر IP اضافه کول
- 5 ګام: د شخصیتونو تعریف
- لومړی ګام: د بیاکتنې جوړول
- 7 ګام: د اساس بیاکتنې تالیف کول
- 8 ګام: د PR د پلي کولو بیاکتنې چمتو کول
- لومړی ګام: د بورډ پروګرام کول
1 ګام: پیل کول
د حوالې ډیزاین کاپي کولو لپاره fileخپل کاري چاپیریال ته ورشئ او د blinking_led فلیټ ډیزاین ترتیب کړئ:
- په خپل کاري چاپیریال کې لارښود جوړ کړئ، agilex_pcie_devkit_blinking_led_pr.
- ډاونلوډ شوي ټیوټوریلونه/agilex_pcie_devkit_blinking_led/flat فرعي فولډر ډایرکټر ته کاپي کړئ، agilex_pcie_devkit_blinking_led_pr.
- د Intel Quartus Prime Pro Edition سافټویر کې، کلیک وکړئ File ➤ پروژه پرانیزئ او blinking_led.qpf غوره کړئ.
- د فلیټ ډیزاین درجه بندي روښانه کولو لپاره، د پروسس کولو ➤ پیل ➤ تحلیل او ترکیب پیل کړئ کلیک وکړئ. په بدیل سره، په کمانډ لاین کې، لاندې کمانډ چل کړئ: quartus_syn blinking_led -c blinking_led
د ډیزاین برخې جوړول
تاسو باید د هرې PR سیمې لپاره ډیزاین برخې رامینځته کړئ چې تاسو غواړئ په جزوي ډول تنظیم کړئ. لاندې مرحلې د u_blinking_led مثال لپاره ډیزاین ویش رامینځته کوي.
د ډیزاین برخې جوړول
- د پروژې نیویګیټر کې د u_blinking_led مثال ښي کلیک وکړئ او د ډیزاین برخې ➤ د بیا تنظیم وړ کلیک وکړئ. د ډیزاین برخې آیکون د هرې بیلګې تر څنګ ښکاري چې د برخې په توګه ټاکل شوی.
- ➤ د ډیزاین برخې کړکۍ باندې کلیک وکړئ. کړکۍ په پروژه کې د ډیزاین ټولې برخې ښیي.
- د ډیزاین برخې کړکۍ کې د برخې نوم په نوم دوه ځله کلیک کولو سره سم کړئ. د دې حوالې ډیزاین لپاره، د برخې نوم pr_partition ته بدل کړئ
- یادونه: کله چې تاسو یوه برخه جوړه کړئ، د Intel Quartus Prime سافټویر په اوتومات ډول د برخې نوم رامینځته کوي، د مثال نوم او درجه بندي لارې پراساس. د دې ډیفالټ برخې نوم د هرې بیلګې سره توپیر کولی شي.
- د بیس بیاکتنې تالیف څخه نهایی شوي جامد سیمې صادرولو لپاره ، د وروستي صادراتو پوسټ کې د root_partition لپاره ننوتل دوه ځله کلیک وکړئ File کالم، او ټایپ کړئ blinking_led_static. gdb.
د ډیزاین پارټیشن کړکۍ کې د پوسټ وروستی سنیپ شاټ صادرولتایید کړئ چې blinking_led.qsf لاندې دندې لري، ستاسو د بیا تنظیم وړ ډیزاین برخې سره مطابقت لري:
اړوند معلومات
د Intel Quartus Prime Pro Edition د کارونکي لارښود کې "د ډیزاین برخې جوړول": جزوي بیا تنظیم کول
د PR برخې لپاره د ځای پرځای کولو او روټینګ سیمه تخصیص کول
د هر بیس بیاکتنې لپاره چې تاسو یې رامینځته کوئ ، د PR ډیزاین جریان ستاسو د PR برخې برخې کې اړونده شخصیت کور ځای په ځای کوي. ستاسو د بیس بیاکتنې لپاره د وسیلې فرش پلان کې د PR سیمه موندلو او ټاکلو لپاره:
- د پروژې نیویګیټر کې د u_blinking_led مثال ښي کلیک وکړئ او د منطق لاک سیمه کلیک وکړئ ➤ نوی منطق لاک سیمه رامینځته کړئ. سیمه د منطق لاک سیمه کړکۍ کې ښکاري.
- ستاسو د ځای پرځای کولو سیمه باید د blinking_led منطق سره وصل کړي. په چپ پلانر کې د نوډ په موندلو سره د ځای پرځای کولو سیمه غوره کړئ. د منطق لاک سیمو کړکۍ کې د u_blinking_led سیمې نوم ښیې کلیک وکړئ او کلیک وکړئ
نوډ ومومئ ➤ په چپ پلانر کې ومومئ. د u_blinking_led سیمه د رنګ کوډ شوې ده
د چپ پلانر نوډ موقعیت د blinking_led لپاره
- د منطق لاک سیمه کړکۍ کې، د ځای ځای پرځای کولو سیمه همغږي د اصلي کالم کې مشخص کړئ. اصليت د سیمې لاندې کیڼ اړخ ته ورته دی. د مثال لپارهample، د (X1 Y1) همغږي سره د ځای پرځای کولو سیمه د (163 4) په توګه ټاکلو لپاره، اصلي ځای د X163_Y4 په توګه مشخص کړئ. د Intel Quartus Prime سافټویر په اوتومات ډول د ځای پرځای کولو سیمې لپاره (X2 Y2) همغږي (پورته ښیې) محاسبه کوي ، د هغه لوړوالي او عرض پراساس چې تاسو یې مشخص کوئ.
- یادونه: دا ټیوټوریل (X1 Y1) همغږي کاروي - (163 4)، او د ځای پرځای کولو سیمې لپاره د 20 لوړوالی او پلنوالی. د ځای پرځای کولو سیمې لپاره کوم ارزښت تعریف کړئ. ډاډ ترلاسه کړئ چې سیمه د blinking_led منطق پوښي.
- ریزرو شوي او یوازې اصلي اختیارونه فعال کړئ.
- د روټینګ سیمه اختیار دوه ځله کلیک وکړئ. د منطق لاک روټینګ سیمې ترتیباتو ډیالوګ بکس څرګندیږي.
- د روټینګ ډول لپاره د توسیع سره فکسډ غوره کړئ. د دې اختیار غوره کول په اتوماتيک ډول د 2 توسیع اوږدوالی ګماري.
- یادونه: د روټینګ ساحه باید د ځای پرځای کولو ساحې څخه لویه وي، ترڅو د فټر لپاره اضافي انعطاف چمتو کړي کله چې انجن مختلف اشخاصو ته لاره هواروي.
منطق لاک سیمه کړکۍتایید کړئ چې blinking_led.qsf لاندې دندې لري، ستاسو د پوړ پلان کولو سره مطابقت لري:
اړوند معلومات
د Intel Quartus Prime Pro Edition د کارونکي لارښود کې "د جزوي بیا تنظیم کولو ډیزاین فرش پلان کړئ: جزوي بیا تنظیم کول
د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP اضافه کول
د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP انٹرفیس د Intel Agilex PR کنټرول بلاک سره د بټ سټریم سرچینې اداره کولو لپاره. تاسو باید دا IP په خپل ډیزاین کې اضافه کړئ ترڅو بهرني تشکیلات پلي کړئ. دا مرحلې تعقیب کړئ ترڅو د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر اضافه کړئ
ستاسو پروژې ته Intel FPGA IP:
- د IP کتلاګ لټون ساحه کې د جزوي بیا تنظیم کولو ټایپ کړئ (وسیلې ➤ IP کتلاګ).
- دوه ځله کلیک وکړئ د جزوي بیا تنظیم کولو بهرني ترتیب کنټرولر Intel FPGA IP.
- د IP ډول جوړ کړئ ډیالوګ بکس کې ، د external_host_pr_ip په توګه ټایپ کړئ File نوم، او بیا جوړ کړئ کلیک وکړئ. د پیرامیټر مدیر څرګندیږي.
- د مصروف انٹرفیس پیرامیټر فعالولو لپاره، غیر فعال کړئ (ډیفالټ ترتیب). کله چې تاسو د دې سیګنال کارولو ته اړتیا لرئ، تاسو کولی شئ تنظیم فعال کړئ.
د پیرامیټ ایډیټر کې د مصروف انٹرفیس پیرامیټر فعال کړئ
- کلیک وکړئ File ➤ د سیسټم رامینځته کولو پرته د پیرامیټر مدیر خوندي کړئ او پریږدئ. د پیرامیټر مدیر د خارجي_host_pr_ip.ip IP توپیر رامینځته کوي file او زیاتوي file د blinking_led پروژې ته. AN 991: د کنفیګریشن پنونو له لارې جزوی بیا تنظیم کول (بهرنی کوربه) د حوالې ډیزاین 750856 | 2022.11.14 AN 991:
- یادونه:
- a. که تاسو د external_host_pr_ip.ip کاپي کوئ file د pr لارښود څخه، په لاسي ډول blinking_led.qsf ایډیټ کړئ file لاندې کرښه شاملولو لپاره: set_global_assignment -name IP_FILE pr_ip.ip
- b. IP_ ځای په ځای کړئFILE د SDC_ وروسته دندهFILE دندې (blinking_led. dc) ستاسو په blinking_led.qsf کې file. دا ترتیب د جزوی بیا تنظیم کولو کنټرولر IP کور مناسب محدودیت تضمینوي.
- یادونه: د دې لپاره چې ساعتونه کشف کړي، د .sdc file د PR IP لپاره باید هر هغه .sdc تعقیب کړي چې هغه ساعتونه رامینځته کوي چې IP کور یې کاروي. تاسو دا امر د دې ډاډ ترلاسه کولو سره اسانه کوئ چې .ip file د PR IP کور د هر .ip وروسته څرګندیږي files یا .sdc fileهغه چې تاسو په .qsf کې د دې ساعتونو د تعریف کولو لپاره کاروئ file ستاسو د Intel Quartus Prime پروژې بیاکتنې لپاره. د نورو معلوماتو لپاره، د جزوی بیا تنظیم IP حلونو کارونکي لارښود ته مراجعه وکړئ.
د لوړ پوړ ډیزاین تازه کول
د top.sv تازه کولو لپاره file د PR_IP مثال سره:
- د پورتنۍ کچې ډیزاین کې د بهرني_host_pr_ip مثال اضافه کولو لپاره ، په top.sv کې لاندې کوډ بلاکونه غیر کمینټ کړئ file:
د شخصیتونو تعریف
د دې حوالې ډیزاین د واحد PR برخې لپاره درې جلا اشخاص تعریفوي. ستاسو په پروژه کې د اشخاصو تعریف او شاملولو لپاره:
- درې سیسټم ویریلوګ جوړ کړئ files، blinking_led.sv، blinking_led_slow.sv، او blinking_led_empty.sv د دریو اشخاصو لپاره ستاسو په کاري لارښود کې.
د حوالې ډیزاین اشخاص
یادونه:
- blinking_led.sv لا دمخه د یوې برخې په توګه شتون لري fileتاسو د فلیټ / فرعي لارښود څخه کاپي کوئ. تاسو کولی شئ په ساده ډول دا بیا وکاروئ file.
- که تاسو SystemVerilog جوړ کړئ fileد Intel Quartus Prime Text Editor څخه، اضافه غیر فعال کړئ file د اوسني پروژې اختیار ته، کله چې خوندي کول files.
د بیاکتنې جوړول
د PR ډیزاین جریان د Intel Quartus Prime سافټویر کې د پروژې بیاکتنې خصوصیت کاروي. ستاسو ابتدايي ډیزاین د اساس بیاکتنه ده، چیرې چې تاسو په FPGA کې د جامد سیمې حدود او د بیا تنظیم وړ سیمې تعریف کړئ. د اساس بیاکتنې څخه ، تاسو ډیری بیاکتنې رامینځته کوئ. دا بیاکتنې د PR سیمو لپاره مختلف تطبیقونه لري. په هرصورت، د PR پلي کولو ټول بیاکتنې د اساس بیاکتنې څخه ورته د لوړ پوړ ځای پرځای کولو او روټینګ پایلې کاروي. د PR ډیزاین راټولولو لپاره، تاسو باید د هر شخص لپاره د PR تطبیق بیاکتنه جوړه کړئ. سربیره پردې، تاسو باید د هرې بیاکتنې لپاره د بیاکتنې ډولونه وټاکئ. د بیاکتنې موجود ډولونه په لاندې ډول دي:
- جزوی بیا تنظیم کول – اساس
- جزوي بیا تنظیم کول – د شخصیت پلي کول
لاندې جدول د هرې بیاکتنې لپاره د بیاکتنې نوم او د بیاکتنې ډول لیست کوي:
د بیاکتنې نومونه او ډولونه
د بیاکتنې نوم | د بیاکتنې ډول |
blinking_led.qsf | جزوی بیا تنظیم کول – اساس |
blinking_led_default.qsf | جزوي بیا تنظیم کول – د شخصیت پلي کول |
blinking_led_slow.qsf | جزوي بیا تنظیم کول – د شخصیت پلي کول |
blinking_led_empty.qsf | جزوي بیا تنظیم کول – د شخصیت پلي کول |
د اساس بیاکتنې ډول تنظیم کول
- په پروژه کلیک وکړئ ➤ بیاکتنې.
- د بیاکتنې په نوم کې، د blinking_led بیاکتنه غوره کړئ، او بیا کلیک وکړئ اوسنی تنظیم کړئ.
- په پلي کولو کلیک وکړئ. blinking_led بیاکتنه د اوسني بیاکتنې په توګه ښیې.
- د Blinking_led لپاره د بیاکتنې ډول تنظیم کولو لپاره، په دنده کلیک وکړئ ➤ ترتیبات ➤ عمومي.
- د بیاکتنې ډول لپاره، د جزوی بیا تنظیم کول غوره کړئ - اساس، او بیا په سمه کلیک وکړئ.
- تایید کړئ چې blinking_led.qsf اوس لاندې دنده لري: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
د تطبیق بیاکتنې رامینځته کول
- د بیاکتنې ډیالوګ بکس خلاصولو لپاره، د پروژې ➤ بیاکتنې کلیک وکړئ.
- د نوي بیاکتنې جوړولو لپاره، دوه ځله کلیک وکړئ < >.
- د بیاکتنې په نوم کې، blinking_led_default مشخص کړئ او د بیاکتنې پر بنسټ د blinking_led غوره کړئ.
- د بیاکتنې ډول لپاره، د جزوی بیا تنظیم کول غوره کړئ - د شخصیت تطبیق.
د بیاکتنې جوړول
- په ورته ډول، د blinking_led_slow او blinking_led_empty بیاکتنې لپاره د بیاکتنې ډول تنظیم کړئ.
- تایید کړئ چې هر .qsf file اوس لاندې دنده لري: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led چیرې چې د نوي رامینځته شوي PR پلي کولو بیاکتنې لپاره ځای_ هولډر د اصلي ادارې نوم دی.
د پروژې بیاکتنې
د اساس بیاکتنې تالیف کول
- د اساس بیاکتنې تالیف کولو لپاره، کلیک وکړئ پروسس کول ➤ تالیف پیل کړئ. په بدیل سره، لاندې کمانډ د اساس بیاکتنه تالیف کوي: quartus_sh -flow compile blinking_led -c blinking_led
- د بټ سټریم معاینه کړئ fileهغه چې په محصول کې تولیدوي_files لارښود.
پیدا شوی Files
نوم | ډول | تفصیل |
blinking_led.sof | بنسټیز پروګرامونه file | د بشپړ چپ بیس ترتیب لپاره کارول کیږي |
blinking_led.pr_partition.rbf | PR بټ سټریم file د بنسټیز شخصیت لپاره | د بیس شخصیت د جزوی بیا تنظیمولو لپاره کارول کیږي. |
blinking_led_static.qdb | .qdb ډیټابیس file | نهایی شوی ډیټابیس file د جامد سیمې واردولو لپاره کارول کیږي. |
اړوند معلومات
- د Intel Quartus Prime Pro Edition د کارونکي لارښود کې "د جزوي بیا تنظیم کولو ډیزاین فرش پلان کړئ: جزوي بیا تنظیم کول
- د Intel Quartus Prime Pro Edition د کارونکي لارښود کې "په زیاتیدونکي ډول د فلور پلان محدودیتونو پلي کول": جزوي بیا تنظیم کول
د PR پلي کولو بیاکتنې چمتو کول
تاسو باید د PR پلي کولو بیاکتنې چمتو کړئ مخکې لدې چې تاسو د وسیلې برنامې لپاره PR بټ سټریم تالیف او تولید کړئ. پدې ترتیب کې د جامد سیمې .qdb اضافه کول شامل دي file د سرچینې په توګه file د هر تطبیق بیاکتنې لپاره. برسېره پردې، تاسو باید د PR سیمې اړونده اداره مشخص کړئ.
- د اوسني بیاکتنې تنظیم کولو لپاره، د پروژې ➤ بیاکتنې کلیک وکړئ، د بیاکتنې نوم په توګه blinking_led_default غوره کړئ، او بیا د اوسني تنظیم کولو کلیک وکړئ.
- د هرې پلي کولو بیاکتنې لپاره د سمې سرچینې تصدیق کولو لپاره، په پروژه کلیک وکړئ ➤ اضافه/لرې کړئ Fileپه پروژه کې. blinking_led.sv file په کې ښکاري file لیست.
Files پاڼه
- د 1 څخه تر 2 پورې مرحلې تکرار کړئ ترڅو د نورو پلي کولو بیاکتنې سرچینې تصدیق کړئ files:
د تطبیق بیاکتنې نوم | سرچینه File |
blinking_led_default | blinking_led.sv |
blinking_led_empty | blinking_led_empty.sv |
ړنګیدل_لیدل_سلو | blinking_led_slow.sv |
- د .qdb تصدیق کولو لپاره file د روټ برخې سره تړاو لري، د ډیزاین برخې برخې کړکۍ کلیک وکړئ. تایید کړئ چې د ویش ډیټابیس File blinking_led_static.qdb مشخص کوي file، یا د تقسیم ډیټابیس دوه ځله کلیک وکړئ File حجره د دې مشخص کولو لپاره file. په بدیل سره، لاندې کمانډ دا کار کوي file: set_instance_assignment -نوم QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
- د ادارې بیا پابند کولو حجره کې، د هر PR برخې د ادارې نوم مشخص کړئ چې تاسو یې د پلي کولو بیاکتنې کې بدل کړئ. د blinking_led_default پلي کولو بیاکتنې لپاره، د ادارې نوم blinking_led دی. په دې ټیوټوریل کې، تاسو د نوي blinking_led ادارې سره د اساس بیاکتنې تالیف څخه u_blinking_led مثال له سره لیکئ.
یادونه: د پلیس هولډر ادارې ریبایډنگ دنده په اوتومات ډول د پلي کولو بیاکتنې کې اضافه کیږي. په هرصورت، تاسو باید په دنده کې د ډیفالټ ادارې نوم ستاسو د ډیزاین لپاره د مناسبې ادارې نوم ته بدل کړئ.
د تطبیق بیاکتنې نوم | د ادارې بیا پابند کول |
blinking_led_default | blinking_led |
ړنګیدل_لیدل_سلو | ړنګیدل_لیدل_سلو |
blinking_led_empty | blinking_led_empty |
د ادارې بیا رغول
- د ډیزاین تالیف کولو لپاره، کلیک وکړئ پروسس کول ➤ تالیف پیل کړئ. په بدیل سره، لاندې کمانډ دا پروژه تالیف کوي: quartus_sh –flow compile blinking_led –c blinking_led_default
- د blinking_led_slow او blinking_led_empty بیاکتنې چمتو کولو لپاره پورتنۍ مرحلې تکرار کړئ: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt
یادونه: تاسو کولی شئ د فیټر ځانګړي ترتیبات مشخص کړئ چې تاسو یې د PR پلي کولو تالیف په جریان کې پلي کول غواړئ. د فټر ځانګړي تنظیمات یوازې د شخصیت فټ اغیزه کوي، پرته له دې چې وارد شوي جامد سیمې اغیزه وکړي.
د بورډ پروګرام کول
دا ټیوټوریل ستاسو په کوربه ماشین کې د PCIe * سلاټ څخه بهر په بینچ کې د Intel Agilex F-Series FPGA پراختیایی بورډ کاروي. مخکې له دې چې تاسو بورډ پروګرام کړئ، ډاډ ترلاسه کړئ چې تاسو لاندې مرحلې بشپړې کړې دي:
- د بریښنا رسولو د Intel Agilex F-Series FPGA پراختیایی بورډ سره وصل کړئ.
- د Intel FPGA ډاونلوډ کیبل د خپل کمپیوټر USB پورټ او Intel FPGA ډاونلوډ کیبل پورټ تر مینځ په پراختیایی بورډ کې وصل کړئ.
د Intel Agilex F-Series FPGA پراختیایی بورډ کې ډیزاین چلولو لپاره:
- د Intel Quartus Prime سافټویر خلاص کړئ او په Tools ➤ Programmer کلیک وکړئ.
- په پروګرامر کې، د هارډویر سیټ اپ کلیک وکړئ او USB-Blaster غوره کړئ.
- په اتوماتیک کشف کلیک وکړئ او وسیله غوره کړئ، AGFB014R24AR0.
- په OK کلیک وکړئ. د Intel Quartus Prime سافټویر په بورډ کې د دریو FPGA وسیلو سره پروګرامر کشف او تازه کوي.
- د AGFB014R24AR0 وسیله غوره کړئ، په بدلون کلیک وکړئ File او blinking_led_default.sof پورته کړئ file.
- د blinking_led_default.sof لپاره برنامه/کنفیګر فعال کړئ file.
- په پیل کلیک وکړئ او د پرمختګ بار ته انتظار وکړئ چې 100٪ ته ورسیږي.
- په تخته کې LEDs وګورئ چې په ورته فریکونسۍ کې د اصلي فلیټ ډیزاین په څیر روښانه کیږي.
- یوازې د PR سیمې پروګرام کولو لپاره، په blinking_led_default.sof ښي کلیک وکړئ file په پروګرامر کې او د PR پروګرامینګ اضافه کړئ کلیک وکړئ File.
- blinking_led_slow.pr_partition.rbf غوره کړئ file.
- د blinking_led_default.sof لپاره برنامه / تنظیم کول غیر فعال کړئ file.
- د blinking_led_slow.pr_partition.rbf لپاره برنامه/کنفیګر فعال کړئ file او په پیل کلیک وکړئ. په تخته کې، LED [0] او LED [1] وګورئ چې د ړنګیدو دوام لري. کله چې د پرمختګ بار 100٪ ته ورسیږي، LED [2] او LED [3] ورو ورو روښانه کیږي.
- د PR سیمه بیا پروګرام کولو لپاره، په .rbf ښي کلیک وکړئ file په پروګرامر کې او د PR پروګرام بدلولو کلیک وکړئ File.
- .rbf غوره کړئ fileد نورو دوو اشخاصو لپاره چې په بورډ کې چلند وګوري. د blinking_led_default.rbf پورته کول file د LEDs لامل کیږي چې په یو ځانګړي فریکونسۍ کې ړنګیږي ، او د blinking_led_empty.rbf پورته کول file د LEDs د فعال پاتې کیدو لامل کیږي.
د Intel Agilex F-Series FPGA پراختیایی بورډ پروګرام کول
د هارډویر ازموینې جریان
لاندې ترتیبونه د حوالې ډیزاین هارډویر ازموینې جریان بیانوي.
د انټیل اګیلیکس وسیله د بهرني کوربه هارډویر تنظیم کول
د پروګرام مرستندویه FPGA (بهرنی کوربه)
لاندې ترتیب د مرستندویه FPGA پروګرام کولو تشریح کوي چې د PR پروسې بهرني کوربه په توګه کار کوي:
- د Avalon سټیمینګ انٹرفیس ترتیب مشخص کړئ چې د هغه حالت سره مطابقت لري چې تاسو یې غوره کوئ (x8، x16، یا x32).
- د Intel Quartus Prime Programmer او وصل شوي ترتیب کیبل په کارولو سره د مرستندویه FPGA پروګرام کولو له لارې پلیټ فارم پیل کړئ.
- د مرستندویه FPGA په کارولو سره، د CONF_DONE او AVST_READY سیګنالونه ولولئ. CONF_DONE باید 0 وي، AVST_READY باید 1 وي. په دې پن کې لوړ منطق دا په ګوته کوي چې SDM د بهرني کوربه څخه ډاټا منلو ته چمتو دی. دا محصول د SDM I/O برخه ده.
یادونه: CONF_DONE پن یو بهرني کوربه ته اشاره کوي چې د بټ سټریم لیږد بریالی دی. دا سیګنالونه یوازې د بشپړ چپ ترتیب کولو پروسې څارلو لپاره وکاروئ. د دې پن په اړه د نورو معلوماتو لپاره د Intel Agilex Configuration کارن لارښود ته مراجعه وکړئ.
د بهرني کوربه له لارې د بشپړ چپ SOF سره د DUT FPGA برنامه لاندې ترتیب د بشپړ چپ SRAM آبجیکٹ سره د DUT FPGA برنامه کول تشریح کوي File (.sof) د کوربه Avalon سټیمینګ انٹرفیس په کارولو سره:
- د مرستندویه FPGA (بهرني کوربه) د DDR4 بهرنۍ حافظې کې بشپړ چپ بټ سټریم ولیکئ.
- د Avalon سټیمینګ انٹرفیس (x8, x16, x32) په کارولو سره DUT FPGA د بشپړ چپ .sof سره تنظیم کړئ.
- د حالت DUT FPGA ترتیب سیګنالونه ولولئ. CONF_DONE باید 1 وي، AVST_READY باید 0 وي.
د وخت مشخصات: د جزوي بیا تنظیم کولو بهرني کنټرولر Intel FPGA IP
DUT FPGA د بهرني کوربه له لارې د لومړي شخص سره برنامه کړئ
- په DUT FPGA کې د هدف PR سیمه کې منجمد پلي کړئ.
- د Intel Quartus Prime System Console په کارولو سره، د جزوی بیا تنظیم کولو پیل کولو لپاره pr_request ټینګار وکړئ. AVST_READY باید 1 وي.
- د مرستندویه FPGA (بهرني کوربه) د DDR4 بهرنۍ حافظې کې د لومړي PR شخصیت بټ سټریم ولیکئ.
- د Avalon سټریمینګ انٹرفیس (x8, x16, x32) په کارولو سره ، DUT FPGA د لومړي شخص بټ سټریم سره تنظیم کړئ.
- د PR حالت څارلو لپاره، د سیسټم کنسول پیلولو لپاره اوزار ➤ سیسټم کنسول کلیک وکړئ. په سیسټم کنسول کې، د PR وضعیت څارنه وکړئ:
- pr_error 2 دی — بیا تنظیمول په پروسه کې.
- pr_error 3 دی — بیا تنظیم کول بشپړ شوي.
- په DUT FPGA کې د PR په سیمه کې غیر منجمد تطبیق کړئ.
یادونه: که چیرې د PR عملیاتو په جریان کې کومه تیروتنه رامینځته شي ، لکه د نسخې چیک کولو یا د اجازې چک کولو کې ناکامي ، د PR عملیات پای ته رسیږي.
اړوند معلومات
- د انټیل اګیلیکس ترتیب کارونکي لارښود
- د Intel Quartus Prime Pro Edition د کارونکي لارښود: Debug Tools
د AN 991 لپاره د سند بیاکتنې تاریخ: د انټیل اګیلیکس F-Series FPGA پراختیایی بورډ لپاره د کنفیګریشن پنونو (بهرنی کوربه) حوالې ډیزاین له لارې جزوی بیا تنظیم کول
د سند نسخه | د Intel Quartus Prime نسخه | بدلونونه |
2022.11.14 | 22.3 | • لومړني خپرول. |
AN 991: د کنفیګریشن پنونو له لارې جزوی بیا تنظیم کول (بهرنی کوربه) د حوالې ډیزاین: د Intel Agilex F-Series FPGA پراختیایی بورډ لپاره
د مهمو پوښتنو ځوابونه:
- Q د ترتیب پنونو له لارې PR څه شی دی؟
- A د بهرنۍ کوربه ترتیب په 3 پاڼه کې
- Q زه د دې حوالې ډیزاین لپاره څه ته اړتیا لرم؟
- A د حوالې ډیزاین اړتیاوې په 6 پاڼه کې
- Q زه د حوالې ډیزاین چیرته ترلاسه کولی شم؟
- A د حوالې ډیزاین اړتیاوې په 6 پاڼه کې
- Q زه څنګه د بهرني ترتیب له لارې PR ترسره کولی شم؟
- A د حوالې ډیزاین واک په 6 پاڼه کې
- Q د PR شخصیت څه شی دی؟
- A د اشخاصو تعریف په 11 پاڼه کې
- Q زه څنګه بورډ پروګرام کولی شم؟
- A د بورډ پروګرام په 17 پاڼه کې
- Q د PR پیژندل شوي مسلې او محدودیتونه څه دي؟
- A د Intel FPGA ملاتړ فورمونه: PR
- Q ایا تاسو د PR په اړه روزنه لرئ؟
- A د Intel FPGA تخنیکي روزنې کتلاګ
آنلاین نسخه فیډبیک واستوئ
- پېژندنه: 750856
- نسخه: 2022.11.14
اسناد / سرچینې
![]() |
intel 750856 Agilex FPGA پراختیایی بورډ [pdf] د کارونکي لارښود 750856, 750857, 750856 Agilex FPGA پراختیایی بورډ, Agilex FPGA پراختیایی بورډ, FPGA پراختیایی بورډ, پراختیایی بورډ, بورډ |