intel-LOGO

intel 750856 Bord Forbartha FPGA Agilex

intel-750856-Agilex-FPGA-Forbairt-Bord-PRODUCT

Eolas Táirge

Tá an dearadh tagartha seo do Bhord Forbartha FPGA Intel Agilex F-Series. Úsáideann sé an Rialaitheoir Cumraíochta Seachtrach Athchumraithe Páirteach Intel FPGA IP agus tá réigiún PR simplí aige. Is éard atá i Socrú Crua-earraí Óstach Seachtrach Gléas Intel Agilex ná feiste seachtrach (Helper FPGA), DUT FPGA, agus do dhearadh óstach seachtrach. Tá an dearadh óstach sa fheiste seachtrach freagrach as an bpróiseas PR a óstáil. Úsáidtear na bioráin PR chun an dá fheiste a nascadh agus is féidir I/O aon úsáideoir atá ar fáil a úsáid.

Treoracha Úsáide Táirge

Cumraíocht Óstach Seachtrach

Chun cumraíocht ósta seachtrach a dhéanamh, lean na céimeanna seo:

  1. Cruthaigh dearadh óstach i bhfeiste seachtrach chun an próiseas PR a óstáil.
  2. Ceangail na bioráin PR ón bhfeiste seachtrach chuig an Rialaitheoir Cumraíochta Seachtrach Athchumraithe Páirteach Intel FPGA IP sa DUT FPGA.
  3. Sruth sonraí cumraíochta ón dearadh óstach go dtí na bioráin comhéadan sruthú Intel Agilex Avalon a fhreagraíonn do na comharthaí croitheadh ​​láimhe PR ón IP.

Athchumrú Páirteach trí Oibriú Pionnaí Cumraíochta

Déanann an seicheamh seo a leanas cur síos ar oibriú an athchumraithe pháirtigh trí bhioráin chumraíochta:

  1. Dearbhaigh an bioráin pr_request atá ceangailte leis an Rialaitheoir Cumraíochta Seachtrach Athchumraithe Páirteach Intel FPGA IP.
  2. Dearbhaíonn an IP comhartha gnóthach chun a chur in iúl go bhfuil an próiseas PR ar siúl (roghnach).
  3. Má tá an córas cumraíochta réidh le haghaidh oibríocht PR, dearbhaítear an bioráin avst_ready, rud a léiríonn go bhfuil sé réidh le sonraí a ghlacadh.
  4. Sruth na sonraí cumraíochta PR thar na bioráin avst_data agus an bioráin avst_valid, tar éis sonraíocht sruthú Avalon le haghaidh aistriú sonraí le backpressure.
  5. Stopann an sruthú nuair a dhí-dhearbhaítear an bioráin avst_ready.
  6. Dídhearbhaigh an bioráin avst_ready chun a chur in iúl nach bhfuil a thuilleadh sonraí ag teastáil don oibríocht PR.
  7. Dí-dhearbhaíonn an Rialaitheoir Cumraíochta Seachtrach Athchumraithe Páirteach Intel FPGA IP an comhartha gnóthach chun deireadh an phróisis a chur in iúl (roghnach).

Athchumrú Páirteach trí Dhearadh Tagartha Pionnaí Cumraíochta (Óstríomhaire Seachtrach).

Léiríonn an nóta iarratais seo athchumrú páirteach trí bhioráin chumraíochta (óstach seachtrach) ar bhord forbartha FPGA Intel® Agilex® F-Series.

Dearadh Tagartha Tharview

Ceadaíonn an ghné athchumraithe páirteach (PR) duit cuid den FPGA a athchumrú go dinimiciúil, agus an dearadh FPGA atá fágtha fós ag feidhmiú. Is féidir leat ilphearsana a chruthú do réigiún ar leith i do dhearadh nach bhfuil tionchar acu ar oibriú i gceantair lasmuigh den réigiún seo. Tá an mhodheolaíocht seo éifeachtach i gcórais ina roinneann feidhmeanna iolracha na hacmhainní feiste FPGA céanna amanna. Tugann an leagan reatha de bhogearraí Intel Quartus® Prime Pro Edition isteach sreabhadh tiomsaithe nua agus simplithe le haghaidh athchumrú páirteach. Úsáideann an dearadh tagartha Intel Agilex seo an Rialaitheoir Cumraíochta Seachtrach Athchumraithe Páirteach Intel FPGA IP agus tá réigiún PR simplí aige.

Socrú Crua-earraí Óstach Seachtrach Gléas Intel Agilexintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (1)

Cumraíocht Óstach Seachtrach

I gcumraíocht óstach seachtrach, ní mór duit dearadh óstach a chruthú ar dtús i bhfeiste seachtrach chun an próiseas PR a óstáil, mar a léiríonn Socrú Crua-earraí Óstach Seachtrach Gléas Intel Agilex. Sruthann an dearadh óstach sonraí cumraíochta chuig bioráin comhéadan sruthú Intel Agilex Avalon a fhreagraíonn do na comharthaí croitheadh ​​láimhe PR a thagann ón Rialaitheoir Cumraíochta Seachtrach Athchumraithe Páirteach Intel FPGA IP. Féadfaidh na bioráin PR a úsáideann tú chun an dá fheiste a nascadh a bheith ina I/O úsáideoir ar bith atá ar fáil.

Déanann an seicheamh seo a leanas cur síos ar an athchumrú páirteach trí oibriú bioráin chumraíochta:

  1. Dearbhaigh an bioráin pr_request ar dtús atá ceangailte leis an Rialaitheoir Cumraíochta Seachtrach Athchumraithe Páirteach Intel FPGA IP.
  2. Dearbhaíonn an IP comhartha gnóthach chun a chur in iúl go bhfuil an próiseas PR ar siúl (roghnach).
  3. Má tá an córas cumraíochta réidh le dul faoi oibríocht PR, dearbhaítear an bioráin avst_ready ag léiriú go bhfuil sé réidh le sonraí a ghlacadh.
  4. Tosaigh na sonraí cumraíochta PR a shruthú thar na bioráin avst_data agus an bioráin avst_valid, agus tú ag breathnú ar shonraíocht sruthú Avalon le haghaidh aistriú sonraí le backpressure.
  5. Stopann an sruthú aon uair a dhí-dhearbhaítear an bioráin avst_ready.
  6. Tar éis na sonraí cumraíochta go léir a shruthú, dí-dhearbhaítear an bioráin avst_ready chun a chur in iúl nach bhfuil níos mó sonraí ag teastáil le haghaidh oibriú PR.
  7. Díscaoileann an Rialaitheoir Cumraíochta Seachtrach Athchumraithe Páirteach Intel FPGA IP an comhartha gnóthach chun deireadh an phróisis a chur in iúl (roghnach).
  8. Is féidir leat na bioráin pr_done agus pr_error a sheiceáil lena dheimhniú cé acu ar éirigh leis an oibríocht PR. Má tharlaíonn earráid, mar theip ar sheiceáil leagan agus seiceáil údaraithe, cuirtear deireadh leis an oibríocht PR.

Eolas Gaolmhar

  • Kit Forbartha FPGA Sraith F Intel Agilex Web Leathanach
  • Treoir Úsáideora Kit Forbartha FPGA Intel Agilex-Sraith F
  • Treoir Úsáideora Intel Quartus Prime Pro Edition: Athchumrú Páirteach

Athchumrú Páirteach Rialaitheoir Cumraíochta Seachtrach Intel FPGA IP
Éilítear ar an Rialaitheoir Cumraíochta Seachtrach Athchumraithe Páirteach bioráin chumraíochta a úsáid chun sonraí PR a shruthú le haghaidh oibriú PR. Ní mór duit gach ceann de na calafoirt barrleibhéil den Rialaitheoir Cumraíochta Seachtrach Athchumraithe Páirteach Intel FPGA IP a nascadh leis an bioráin pr_request chun an t-óstach a chroitheadh ​​​​leis an mbainisteoir gléas slán (SDM) ón gcroílár. Cinneann an SDM na cineálacha bioráin chumraíochta a úsáidfear, de réir do shocrú MSEL.

Athchumrú Páirteach Rialaitheoir Cumraíochta Seachtrach Intel FPGA IPintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (2)

Athchumrú Páirteach Socruithe Paraiméadar Rialaitheoir Cumraíochta Seachtrach

Paraiméadar Luach Cur síos
Cumasaigh Comhéadan Gnóthach Cumasaigh or

Díchumasaigh

Ligeann sé duit an comhéadan Gnóthach a Cumasú nó a Dhíchumasú, a dhearbhaíonn comhartha chun a chur in iúl go bhfuil próiseáil PR ar siúl le linn cumraíochta seachtrach.

Tá an socrú réamhshocraithe Díchumasaigh.

Calafoirt Rialaitheoir Cumraíochta Seachtrach Athchumrú Páirteach

Ainm an Phoirt Leithead Treo Feidhm
pr_iarratas 1 Ionchur Tugann sé le fios go bhfuil an próiseas PR réidh le tosú. Seoladán é an comhartha nach bhfuil sioncrónach le haon chomhartha clog.
pr_earráid 2 Aschur Léiríonn sé earráid pháirteach athchumraithe.:

• 2'b01 - earráid PR ghinearálta

• 2'b11 - earráid srutha giotaí neamh-chomhoiriúnach

Is seoladáin iad na comharthaí seo nach bhfuil sioncrónach le haon fhoinse cloig.

pr_déanta 1 Aschur Tugann sé le fios go bhfuil an próiseas PR críochnaithe. Seoladán é an comhartha nach bhfuil sioncrónach le haon chomhartha clog.
tús_addr 1 Ionchur Sonraítear seoladh tosaigh na sonraí PR i Flash Srathach Gníomhach. Cumasaíonn tú an comhartha seo trí cheachtar acu a roghnú Avalon®-ST or Sraitheach Gníomhach le haghaidh an Cumasaigh Pionnaí Avalon-ST nó Pionnaí Srathach Gníomhacha paraiméadar. Seoladán é an comhartha nach bhfuil sioncrónach le haon chomhartha clog.
athshocrú 1 Ionchur Comhartha athshocraithe ard, sioncrónach gníomhach.
amach_clk 1 Aschur Foinse clog a ghineann ó oscillator inmheánach.
gnóthach 1 Aschur Dearbhaíonn an IP an comhartha seo chun aistriú sonraí PR ar siúl a chur in iúl. Cumasaíonn tú an comhartha seo trí roghnú Cumasaigh le haghaidh an Cumasaigh comhéadan gnóthach paraiméadar.

Riachtanais Dearaidh Tagartha

Teastaíonn na nithe seo a leanas le húsáid an dearadh tagartha seo:

  • Suiteáil leagan 22.3 Intel Quartus Prime Pro Edition le tacaíocht do theaghlach feiste Intel Agilex.
  • Ceangal le bord forbartha FPGA Intel Agilex F-Series ar an mbinse.
  • Íosluchtaigh dearadh example fáil sa suíomh seo a leanas: https://github.com/intel/fpga-partial-reconfig.

Íosluchtaigh dearadh example:

  1. Cliceáil Clón nó íoslódáil.
  2. Cliceáil ar Íoslódáil Zip. Unzip an fpga-partial-reconfig-master.zip file.
  3. Déan nascleanúint go dtí an fofhillteán ranganna teagaisc/agilex_external_pr_configuration chun an dearadh tagartha a rochtain.

Siúlóid Dearaidh Tagartha

Déanann na céimeanna seo a leanas cur síos ar chur i bhfeidhm athchumrú páirteach trí bhioráin chumraíochta (óstach seachtrach) ar bhord forbartha FPGA Intel Agilex F-Series:

  • Céim 1: Ag tosú
  • Céim 2: Deighilt Dearaidh a Chruthú
  • Céim 3: Réigiúin Socrúcháin agus Ródúcháin a Leithdháileadh
  • Céim 4: Ag cur IP Rialaitheoir Cumraíochta Seachtrach Athchumrú Páirteach leis
  • Céim 5: Daoine a Shainmhíniú
  • Céim 6: Athbhreithnithe a Chruthú
  • Céim 7: An Bonn-Athbhreithniú á chur le chéile
  • Céim 8: Leasuithe Forfheidhmithe Caidreamh Poiblí a Ullmhú
  • Céim 9: An Bord a Chlárú

Céim 1: Tús a chur leis
Chun an dearadh tagartha a chóipeáil files chuig do thimpeallacht oibre agus tiomsaigh an dearadh cothrom blinking_led:

  1. Cruthaigh eolaire i do thimpeallacht oibre, agilex_pcie_devkit_blinking_led_pr.
  2. Cóipeáil na ranganna teagaisc íoslódáilte / agilex_pcie_devkit_blinking_led/flat fo-fhillteán chuig an eolaire, agilex_pcie_devkit_blinking_led_pr.
  3. I mbogearraí Intel Quartus Prime Pro Edition, cliceáil File ➤ Oscail Tionscadal agus roghnaigh blinking_led.qpf.
  4. Chun ordlathas an dearadh comhréidh a mhionsaothrú, cliceáil Próiseáil ➤ Tosaigh ➤ Tosaigh Anailís & Sintéis. Nó, ag an líne ordaithe, reáchtáil an t-ordú seo a leanas: quartus_syn blinking_led -c blinking_led

Deighilt Dearaidh a Chruthú

Ní mór duit deighiltí dearaidh a chruthú do gach réigiún PR ar mhaith leat a athchumrú go páirteach. Cruthaíonn na céimeanna seo a leanas deighilt dearaidh don ásc u_blinking_led.

Deighiltí Dearaidh a Chruthúintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (3)

  1. Deaschliceáil ar an ásc u_blinking_led sa Project Navigator agus cliceáil Design Partition ➤ Reconfigurable. Tá deilbhín deighilte deartha le feiceáil in aice le gach cás atá socraithe mar dheighilt.
  2. Cliceáil Assignments ➤ Fuinneog Deighiltí Dearaidh. Taispeánann an fhuinneog gach deighilt dearaidh sa tionscadal.
  3. Cuir ainm na deighilte san Fhuinneog Deighiltí Dearaidh in eagar trí chliceáil faoi dhó ar an ainm. Maidir leis an dearadh tagartha seo, athainmnigh ainm na críochdheighilte go pr_partition
    • Nóta: Nuair a chruthaíonn tú críochdheighilt, gineann bogearraí Intel Quartus Prime ainm críochdheighilte go huathoibríoch, bunaithe ar ainm an ásc agus ar chonair an ordlathais. Féadfaidh an t-ainm deighilte réamhshocraithe seo athrú de réir gach cás.
  4. Chun an réigiún statach críochnaithe a easpórtáil ón mbun-thiomsú athbhreithnithe, cliceáil faoi dhó ar an iontráil le haghaidh root_partition san Easpórtáil Iardheiridh File colún, agus clóscríobh blinking_led_static. gdb.

Easpórtáil Pictiúr Deiridh Post i bhFuinneog Deighiltí Dearthaintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (4)Deimhnigh go bhfuil na tascanna seo a leanas sa blinking_led.qsf, a fhreagraíonn do do dheighilt dearaidh in-athchumraithe:intel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (5)

Eolas Gaolmhar
“Cruthaigh Deighiltí Dearaidh” i dTreoir Úsáideora Intel Quartus Prime Pro Edition: Athchumrú Páirteach

Socrúchán agus Réigiúin Ródúcháin a Leithdháileadh le haghaidh Deighiltí Caidreamh Poiblí
I gcás gach bunathbhreithnithe a chruthaíonn tú, cuireann an sreabhadh dearaidh PR an croí-phearsa comhfhreagrach i do réigiún críochdheighilte PR. Chun an réigiún PR a aimsiú agus a shannadh i bplean urláir an ghléis do do bhunathbhreithniú:

  1. Deaschliceáil ar an ásc u_blinking_led sa Project Navigator agus cliceáil ar Logic Lock Region ➤ Cruthaigh Réigiúin Ghlais Loighic Nua. Tá an réigiún le feiceáil ar an bhFuinneog Réigiúin Logic Lock.
  2. Caithfidh do réigiún socrúcháin an loighic blinking_led a chur faoi iamh. Roghnaigh an réigiún socrúcháin tríd an nód a lonnú sa Phleanálaí Sliseanna. Deaschliceáil ar an ainm réigiúin u_blinking_led i bhFuinneog Réigiúin Lock Loighic agus cliceáil

Aimsigh Nód ➤ Aimsigh i bPleanálaí Sliseanna. Tá dathchód ar an réigiún u_blinking_led

Suíomh Nód Pleanálaí Sliseanna le haghaidh blinking_ledintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (6)

  1. Sa fhuinneog Réigiúin Lock Loighic, sonraigh na comhordanáidí réigiún socrúcháin sa cholún Bunús. Freagraíonn an bunús leis an gcúinne íochtair ar chlé den réigiún. Le haghaidh example, chun réigiún socrúcháin a shocrú le comhordanáidí (X1 Y1) mar (163 4), sonraigh an Bunús mar X163_Y4. Ríomhann bogearraí Intel Quartus Prime go huathoibríoch na comhordanáidí (X2 Y2) (barr ar dheis) don réigiún socrúcháin, bunaithe ar an airde agus an leithead a shonraíonn tú.
    • Nóta: Úsáideann an rang teagaisc seo na comhordanáidí (X1 Y1) – (163 4), agus airde agus leithead 20 don réigiún socrúcháin. Sainmhínigh aon luach don réigiún socrúcháin. Cinntigh go gclúdaíonn an réigiún an loighic blinking_led.
  2. Cumasaigh na roghanna Forchoimeádta agus Core-Only.
  3. Cliceáil faoi dhó ar an rogha Réigiún Routing. Feictear an bosca dialóige um Shocruithe Réigiúin Routing Lock Logic.
  4. Roghnaigh Seasta le leathnú don chineál Ródú. Má roghnaítear an rogha seo, sannann sé fad leathnaithe 2 go huathoibríoch.
    • Nóta: Caithfidh an réigiún ródaithe a bheith níos mó ná an réigiún socrúcháin, chun solúbthacht bhreise a sholáthar don Fheisteoir nuair a théann an t-inneall ar bhealach difriúil.

Fuinneog Réigiúin Lock Loighicintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (7)Fíoraigh go bhfuil na tascanna seo a leanas sa blinking_led.qsf, a fhreagraíonn do do phleanáil urláir:intel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (8)intel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (9)

Eolas Gaolmhar
“Floorplan the Partial Reconfiguration Design” in Intel Quartus Prime Pro Edition Treoir Úsáideora: Athchumrú Páirteach

Ag cur an Rialaitheoir Cumraíochta Seachtrach Athchumraithe Páirteach Intel FPGA IP
Comhéadann an Rialaitheoir Cumraíochta Seachtrach Athchumraithe Páirteach Intel FPGA IP le bloc rialaithe Intel Agilex PR chun an fhoinse bitstream a bhainistiú. Ní mór duit an IP seo a chur le do dhearadh chun cumraíocht sheachtrach a chur i bhfeidhm. Lean na céimeanna seo chun an Rialaitheoir Cumraíochta Seachtrach um Athchumrú Páirteach a chur leis
IP Intel FPGA chuig do thionscadal:

  1. Cineál Athchumrú Páirteach sa réimse cuardaigh Catalóg IP (Tools ➤ IP Catalog).
  2. Cliceáil faoi dhó ar Athchumrú Páirteach Rialaitheoir Cumraíochta Seachtrach Intel FPGA IP.
  3. Sa bhosca dialóg Cruthaigh Athróg IP, cineál external_host_pr_ip mar an File ainm, agus ansin cliceáil Cruthaigh. Tá an t-eagarthóir paraiméadar le feiceáil.
  4. Le haghaidh paraiméadar an chomhéadain Cumasaigh gnóthach, roghnaigh Díchumasaigh (an socrú réamhshocraithe). Nuair is gá duit an comhartha seo a úsáid, is féidir leat an socrú a athrú go Cumasaigh.

Cumasaigh Paraiméadar Comhéadain Gnóthach in Eagarthóir Paraiméadarintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (10)

  1. Cliceáil File ➤ Sábháil agus scoir an t-eagarthóir paraiméadar gan an córas a ghiniúint. Gineann an t-eagarthóir paraiméadar an t-athrú IP external_host_pr_ip.ip file agus cuireann an file don tionscadal blinking_led. AN 991: Athchumrú Páirteach trí Phionnaí Cumraíochta (Óstach Seachtrach) Dearadh Tagartha 750856 | 2022.11.14 AN 991:
    • Nóta:
    • a. Má tá an external_host_pr_ip.ip á chóipeáil agat file ón eolaire pr, cuir an blinking_led.qsf in eagar de láimh file an líne seo a leanas a chur san áireamh: set_global_assignment -name IP_FILE pr_ip.ip
    • b. Cuir an IP_FILE tasc tar éis an SDC_FILE tascanna (blinking_led. dc) i do blinking_led.qsf file. Cinntíonn an t-ordú seo srian cuí ar chroílár IP an Rialaitheora Athchumraithe Pháirtigh.
    • Nóta: Chun na cloig a bhrath, beidh an .sdc file ní mór don PR IP leanúint ar bith .sdc a chruthaíonn na cloig a úsáideann an croí IP. Éascaíonn tú an t-ordú seo trína chinntiú go bhfuil an .ip file le haghaidh an croí PR IP dealraitheach tar éis aon .ip files nó .sdc files a úsáideann tú chun na cloig seo a shainiú sa .qsf file le haghaidh do athbhreithniú tionscadail Intel Quartus Prime. Le haghaidh tuilleadh faisnéise, féach ar an Treoir Úsáideora Réitigh IP Athchumraithe Páirteach.

Nuashonrú ar Dhearadh Barrleibhéil

Chun an top.sv file leis an sampla PR_IP:

  1. Chun an sampla external_host_pr_ip a chur leis an dearadh barrleibhéil, déan trácht ar na bloic cóid seo a leanas sa top.sv file:intel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (11)

Daoine a Shainmhíniú
Sainmhíníonn an dearadh tagartha seo trí phearsa ar leith don chríochdheighilt PR aonair. Chun na daoine i do thionscadal a shainiú agus a chur san áireamh:

  1. Cruthaigh trí SystemVerilog files, blinking_led.sv, blinking_led_slow.sv, agus blinking_led_empty.sv i do eolaire oibre do na trí phearsa.

Pearsanra Dearaidh Tagarthaintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (12) intel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (13)

Nóta:

  • blinking_led.sv ar fáil cheana féin mar chuid den files cóipeann tú ón árasán/fo-eolaire. Is féidir leat é seo a athúsáid go simplí file.
  • Má chruthaíonn tú an SystemVerilog files ó Intel Quartus Príomh-Eagarthóir Téacs, díchumasaigh an Add file go dtí an rogha tionscadail reatha, nuair a shábháil an files.

Athbhreithnithe a Chruthú

Úsáideann an sreabhadh dearadh PR an ghné athbhreithnithe tionscadail i mbogearraí Intel Quartus Prime. Is é do dhearadh tosaigh an t-athbhreithniú bonn, áit a shainíonn tú teorainneacha an réigiúin statacha agus na réigiúin in-athchumraithe ar an FPGA. Ón mbun-athbhreithniú, cruthaíonn tú leasuithe iolracha. Cuimsítear sna hathbhreithnithe seo na forfheidhmithe éagsúla do na réigiúin Caidreamh Poiblí. Mar sin féin, úsáideann gach athbhreithniú ar chur i bhfeidhm PR na torthaí socrúcháin agus ródaithe barrleibhéil céanna ón mbunathbhreithniú. Chun dearadh PR a thiomsú, ní mór duit athbhreithniú ar chur i bhfeidhm PR a chruthú do gach pearsa. Ina theannta sin, ní mór duit cineálacha athbhreithnithe a shannadh do gach ceann de na hathbhreithnithe. Is iad na cineálacha athbhreithnithe atá ar fáil ná:

  • Athchumrú Páirteach – Bonn
  • Athchumrú Páirteach – Cur i bhFeidhm Pearsanta

Liostaíonn an tábla seo a leanas ainm an athbhreithnithe agus an cineál athbhreithnithe do gach ceann de na hathbhreithnithe:

Ainmneacha agus Cineálacha Athbhreithnithe

Ainm Athbhreithnithe Cineál Athbhreithnithe
ag caochadh_led.qsf Athchumrú Páirteach – Bonn
blinking_led_default.qsf Athchumrú Páirteach – Cur i bhFeidhm Pearsanta
ag caochadh_led_slow.qsf Athchumrú Páirteach – Cur i bhFeidhm Pearsanta
ag caochadh_led_fholamh.qsf Athchumrú Páirteach – Cur i bhFeidhm Pearsanta

Ag Socrú an Bhunchineál Athbhreithnithe

  1. Cliceáil Project ➤ Athbhreithnithe.
  2. In Ainm Athbhreithnithe, roghnaigh an blinking_led athbhreithniú, agus ansin cliceáil Socraigh Reatha.
  3. Cliceáil Iarratas. Taispeánann an t-athbhreithniú blinking_led mar an t-athbhreithniú reatha.
  4. Chun an Cineál Athbhreithnithe a shocrú le haghaidh blinking_led, cliceáil Sannacháin ➤ Socruithe ➤ Ginearálta.
  5. Le haghaidh Cineál Athbhreithnithe, roghnaigh Athchumrú Páirteach - Bonn, agus ansin cliceáil OK.
  6. Deimhnigh go bhfuil an tasc seo a leanas sa blinking_led.qsf anois: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE

Athbhreithnithe Forfheidhmithe a Chruthú

  1. Chun an bosca dialóige Athbhreithnithe a oscailt, cliceáil Tionscadal ➤ Athbhreithnithe.
  2. Chun athbhreithniú nua a chruthú, cliceáil faoi dhó < >.
  3. In ainm Athbhreithnithe, sonraigh blinking_led_default agus roghnaigh blinking_led le haghaidh Bunaithe ar athbhreithniú.
  4. Maidir leis an gcineál Athbhreithnithe, roghnaigh Athchumrú Páirteach – Pearsanra Cur i bhFeidhm.

Athbhreithnithe a Chruthúintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (14)

  1. Mar an gcéanna, socraigh an cineál Athbhreithnithe le haghaidh leasuithe blinking_led_slow agus blinking_led_empty.
  2. Fíoraigh go bhfuil gach .qsf file anois tá an tasc seo a leanas: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led áit arb é place_holder an t-ainm réamhshocraithe aonáin don athbhreithniú feidhmithe PR nuachruthaithe.

Athbhreithnithe Tionscadailintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (16)

An Bun-Athbhreithniú a Thiomsú

  1. Chun an t-athbhreithniú bonn a thiomsú, cliceáil Próiseáil ➤ Tosaigh Tiomsú. Nó, tiomsaíonn an t-ordú seo a leanas an t-athbhreithniú bonn: quartus_sh -flow compile blinking_led -c blinking_led
  2. Déan iniúchadh ar an sruth giotán files a ghineann san aschur_files eolaire.

Gineadh Files

Ainm Cineál Cur síos
ag blinking_led.sof Bunchlárú file Úsáidte le haghaidh cumraíochta bonn lán-sliseanna
blinking_led.pr_partition.rbf Sruth giotán PR file le haghaidh persona bonn Úsáidtear é le haghaidh athchumrú páirteach ar phearsana bonn.
ag blinking_led_static.qdb Bunachar sonraí .qdb file Bunachar sonraí críochnaithe file a úsáidtear chun an réigiún statach a allmhairiú.

Eolas Gaolmhar

  • “Floorplan the Partial Reconfiguration Design” in Intel Quartus Prime Pro Edition Treoir Úsáideora: Athchumrú Páirteach
  • “Srianta Floorplan a Chur i bhFeidhm go Incriminteach” i dTreoir Úsáideora Intel Quartus Prime Pro Edition: Athchumrú Páirteach

Athbhreithnithe Forfheidhmithe Caidreamh Poiblí a ullmhú
Ní mór duit na hathbhreithnithe forfheidhmithe PR a ullmhú sular féidir leat an sruth giotán PR a thiomsú agus a ghiniúint le haghaidh ríomhchlárú gléas. Áiríonn an socrú seo an réigiún statach .qdb a chur leis file mar an fhoinse file do gach athbhreithniú ar chur i bhfeidhm. Ina theannta sin, ní mór duit eintiteas comhfhreagrach an réigiúin PR a shonrú.

  1. Chun an t-athbhreithniú reatha a shocrú, cliceáil Tionscadal ➤ Athbhreithnithe, roghnaigh blinking_led_default mar an t-ainm Athbhreithnithe, agus ansin cliceáil Socraigh Reatha.
  2. Chun an fhoinse cheart do gach athbhreithniú ar chur i bhfeidhm a fhíorú, cliceáil Tionscadal ➤Cuir/Bain Files sa Tionscadal. Tá an blinking_led.sv file le feiceáil sa file liosta.

Files Leathanachintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (17)

  1. Déan céimeanna 1 go 2 arís chun an fhoinse athbhreithnithe cur chun feidhme eile a fhíorú files:
Ainm an Athbhreithnithe Forfheidhmithe Foinse File
ag blinking_led_default ag caochadh_led.sv
ag caochadh_led_folamh ag caochadh_led_fholamh.sv
ag caochadh_led_mall ag caochadh_led_slow.sv
  1. Chun an .qdb a fhíorú file a bhaineann leis an deighilt fréimhe, cliceáil Tascanna ➤ Fuinneog Deighiltí Dearaidh. Deimhnigh go bhfuil an Bunachar Sonraí Deighiltí File sonraítear an blinking_led_static.qdb file, nó cliceáil faoi dhó ar an Bunachar Sonraí Deighiltí File cill chun é seo a shonrú file. Nó, sannann an t-ordú seo a leanas é seo file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -le |
  2. Sa chill Athcheangail Aonán, sonraigh ainm aonáin gach críochdheighilte PR a athraíonn tú san athbhreithniú cur chun feidhme. Maidir leis an athbhreithniú ar fheidhmiú blinking_led_default, is é blinking_led ainm an aonáin. Sa rang teagaisc seo, déanann tú forscríobh ar an ásc u_blinking_led ón mbun-leasú leis an eintiteas nua blinking_led.

Nóta: Cuirtear sannadh athcheangail aonáin áitsealbhóra leis an athbhreithniú cur chun feidhme go huathoibríoch. Mar sin féin, ní mór duit an t-ainm réamhshocraithe aonáin sa tasc a athrú go dtí ainm aonáin oiriúnach do do dhearadh.

Ainm an Athbhreithnithe Forfheidhmithe Aonán Athcheangail
ag blinking_led_default ag blinking_led
ag caochadh_led_mall ag caochadh_led_mall
ag caochadh_led_folamh ag caochadh_led_folamh

Athcheangal Aonánintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (18)

  1. Chun an dearadh a thiomsú, cliceáil Próiseáil ➤ Tosaigh Tiomsú. Nó, tiomsaíonn an t-ordú seo a leanas an tionscadal seo: quartus_sh –flow compile blinking_led –c blinking_led_default
  2. Déan na céimeanna thuas arís chun leasuithe blinking_led_slow agus blinking_led_empty a ullmhú: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow tiomsú blinking_led –c blinking_led_empt

Nóta: Is féidir leat aon socruithe sonracha Feisteoir a theastaíonn uait a chur i bhfeidhm le linn thiomsú chur i bhfeidhm PR a shonrú. Ní bhíonn tionchar ag socruithe sonracha an fheisteora ach ar oiriúnacht an phearsanra, gan cur isteach ar an réigiún statach allmhairithe.

An Bord a Chlárú
Úsáideann an rang teagaisc seo bord forbartha Intel Agilex-Series FPGA FPGA ar an mbinse, taobh amuigh den sliotán PCIe* i do mheaisín óstach. Sula ndéanann tú an clár a ríomhchlárú, cinntigh go bhfuil na céimeanna seo a leanas curtha i gcrích agat:

  1. Ceangail an soláthar cumhachta le bord forbartha FPGA Intel Agilex F-Series.
  2. Ceangail Cábla Íoslódála Intel FPGA idir do phort USB PC agus calafort Cábla Íoslódála Intel FPGA ar an mbord forbartha.

Chun an dearadh a reáchtáil ar bhord forbartha FPGA Intel Agilex F-Series:

  1. Oscail bogearraí Intel Quartus Prime agus cliceáil Uirlisí ➤ Ríomhchláraitheoir.
  2. Sa Ríomhchláraitheoir, cliceáil Socrú Crua-earraí agus roghnaigh USB-Blaster.
  3. Cliceáil Auto Braith agus roghnaigh an gléas, AGFB014R24AR0.
  4. Cliceáil OK. Déanann bogearraí Intel Quartus Prime an Ríomhchláraitheoir a bhrath agus a nuashonrú leis na trí fheiste FPGA ar an gclár.
  5. Roghnaigh an gléas ATFB014R24AR0, cliceáil Athrú File agus luchtú an blinking_led_default.sof file.
  6. Cumasaigh Clár/Cumraigh le haghaidh blinking_led_default.sof file.
  7. Cliceáil Tosaigh agus fan go sroichfidh an barra dul chun cinn 100%.
  8. Breathnaigh ar na soilse ar an gclár ag blinking ag an minicíocht chéanna leis an dearadh árasán bunaidh.
  9. Chun an réigiún PR amháin a ríomhchlárú, deaschliceáil ar an blinking_led_default.sof file sa Ríomhchláraitheoir agus cliceáil Add PR Programming File.
  10. Roghnaigh an blinking_led_slow.pr_partition.rbf file.
  11. Díchumasaigh an Clár/Cumraigh le haghaidh blinking_led_default.sof file.
  12. Cumasaigh Clár/Cumraigh le haghaidh blinking_led_slow.pr_partition.rbf file agus cliceáil Tosaigh. Ar an gclár, breathnaigh LED[0] agus LED[1] agus iad ag caochadh. Nuair a shroicheann an barra dul chun cinn 100%, lasann LED[2] agus LED[3] níos moille.
  13. Chun an réigiún PR a athchlárú, deaschliceáil ar an .rbf file sa Ríomhchláraitheoir agus cliceáil Athrú Clárú PR File.
  14. Roghnaigh an .rbf files go bhfeicfidh an bheirt eile an t-iompar ar an gclár. Blinking_led_default.rbf á lódáil file is cúis leis na soilse faoi stiúir blink ag minicíocht ar leith, agus luchtú an blinking_led_empty.rbf file cúiseanna na soilse chun fanacht AR.

Bord Forbartha FPGA Sraith F Intel Agilex a ríomhchlárúintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (19)Sreabhadh Tástála Crua-earraí

Déanann na seichimh seo a leanas cur síos ar shreabhadh tástála crua-earraí an dearadh tagartha.
Socrú Crua-earraí Óstach Seachtrach Gléas Intel Agilexintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (20)

Clár an Cúntóir FPGA (Óstach Seachtrach)
Déanann an seicheamh seo a leanas cur síos ar ríomhchlárú an FPGA cúntóir a fheidhmíonn mar óstach seachtrach an phróisis PR:

  1. Sonraigh an socrú comhéadan sruthú Avalon a fhreagraíonn don mhodh a roghnaíonn tú (x8, x16, nó x32).
  2. Tosaigh an t-ardán tríd an gcúntóir FPGA a ríomhchlárú ag baint úsáide as an Intel Quartus Prime Programmer agus cábla cumraíochta ceangailte.
  3. Agus an cúntóir FPGA in úsáid agat, léigh na comharthaí CONF_DONE agus AVST_READY. Ba chóir go mbeadh CONF_DONE 0, ba cheart go mbeadh AVST_READY 1. Léiríonn an loighic ard ar an bioráin seo go bhfuil an SDM réidh le sonraí a ghlacadh ó óstach seachtrach. Is cuid den SDM I/O é an t-aschur seo.

Nóta: Comharthaíonn an bioráin CONF_DONE óstach seachtrach go n-éiríonn le haistriú srutha giotán. Bain úsáid as na comharthaí seo ach amháin chun monatóireacht a dhéanamh ar an bpróiseas cumraíochta sliseanna iomlán. Déan tagairt do Threoir Úsáideora Cumraíochta Intel Agilex le haghaidh tuilleadh eolais ar an bioráin seo.

Clár an DUT FPGA le Full Chip SOF tríd an Óstríomhaire Seachtrach Déanann an seicheamh seo a leanas cur síos ar an DUT FPGA a ríomhchlárú leis an sliseanna iomlán SRAM Object File (.sof) ag baint úsáide as comhéadan sruthú Avalon ósta:

  1. Scríobh an sruth giotán sliseanna iomlán isteach i gcuimhne seachtrach DDR4 an chúntóra FPGA (óstach seachtrach).
  2. Cumraigh an DUT FPGA leis an sliseanna iomlán .sof ag baint úsáide as comhéadan sruthú Avalon (x8, x16, x32).
  3. Léigh na comharthaí cumraíochta DUT FPGA stádas. Ba chóir go mbeadh CONF_DONE 1, ba cheart go mbeadh AVST_READY 0.

Sonraíochtaí Uainithe: Athchumrú Páirteach Rialaitheoir Seachtrach Intel FPGA IPintel-750856-Agilex-FPGA-Forbairt-Bord-FIG-1 (21)

Clár FPGA DUT leis an gCéad Pearsa trí Óstach Seachtrach

  1. Cuir an reo i bhfeidhm ar an sprioc-réigiún PR i FPGA DUT.
  2. Ag baint úsáide as an Intel Quartus Prime System Console, a dhearbhú pr_request chun tús a chur leis an athchumrú páirteach. Ba cheart go mbeadh AVST_READY 1.
  3. Scríobh an chéad sruth giotán PR persona isteach i gcuimhne seachtrach DDR4 an chúntóra FPGA (óstach seachtrach).
  4. Ag baint úsáide as comhéadan sruthú Avalon (x8, x16, x32), athchumraigh an DUT FPGA leis an gcéad persona sruth giotán.
  5. Chun monatóireacht a dhéanamh ar stádas PR, cliceáil Uirlisí ➤ Consól an Chórais chun Consól an Chórais a sheoladh. I gConsól an Chórais, déan monatóireacht ar an stádas PR:
    • Is é pr_error 2 - athchumrú sa phróiseas.
    • pr_error is 3 - tá an t-athchumrú críochnaithe.
  6. Cuir díreo i bhfeidhm ar an réigiún Caidreamh Poiblí i FPGA DUT.

Nóta: Má tharlaíonn earráid le linn oibriú PR, mar theip ar sheiceáil leagan nó seiceáil údaraithe, cuirtear deireadh leis an oibríocht PR.

Eolas Gaolmhar

  • Treoir úsáideora cumraíochta Intel Agilex
  • Treoir Úsáideora Intel Quartus Prime Pro Edition: Uirlisí Dífhabhtaithe

Stair Athbhreithnithe Doiciméid le haghaidh AN 991: Athchumrú Páirteach trí Phionnaí Cumraíochta (Óstach Seachtrach) Dearadh Tagartha do Bhord Forbartha FPGA Intel Agilex F-Series

Leagan Doiciméid Intel Quartus Príomh-leagan Athruithe
2022.11.14 22.3 • Eisiúint tosaigh.

AN 991: Athchumrú Páirteach trí Phionnaí Cumraíochta (Óstach Seachtrach) Dearadh Tagartha: do Bhord Forbartha FPGA Intel Agilex F-Series

Freagraí ar na Ceisteanna Coitianta is Fearr:

  • Q Cad é PR trí bhioráin chumraíochta?
  • A Cumraíocht Óstach Seachtrach ar leathanach 3
  • Q Cad a theastaíonn uaim don dearadh tagartha seo?
  • A Riachtanais Dearaidh Thagartha ar leathanach 6
  • Q Cá bhfaighidh mé an dearadh tagartha?
  • A Riachtanais Dearaidh Thagartha ar leathanach 6
  • Q Conas is féidir liom PR a dhéanamh trí chumraíocht sheachtrach?
  • A Siúlóid Dearaidh Tagartha ar leathanach 6
  • Q Cad is pearsa PR ann?
  • A Daoine a Shainmhíniú ar leathanach 11
  • Q Conas a chláróidh mé an bord?
  • A Íoslódáil an Bord ar leathanach 17
  • Q Cad iad na saincheisteanna agus na teorainneacha atá ar eolas ag PR?
  • A Fóraim Tacaíochta Intel FPGA: PR
  • Q An bhfuil oiliúint agat ar PR?
  • A Catalóg Oiliúna Teicniúil Intel FPGA

Leagan Ar Líne Seol Aiseolas

  • ID: 750856
  • Leagan: 2022.11.14

Doiciméid / Acmhainní

intel 750856 Bord Forbartha FPGA Agilex [pdfTreoir Úsáideora
750856, 750857, 750856 Bord Forbartha FPGA Agilex, Bord Forbartha FPGA Agilex, Bord Forbartha FPGA, Bord Forbartha, Bord

Tagairtí

Fág trácht

Ní fhoilseofar do sheoladh ríomhphoist. Tá réimsí riachtanacha marcáilte *