intel-LOGO

intel 750856 Agilex FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ

intel-750856-Agilex-FPGA-Development-Board-PRODUCT

ಉತ್ಪನ್ನ ಮಾಹಿತಿ

ಈ ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವು ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಎಫ್-ಸರಣಿ FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿಗೆ ಆಗಿದೆ. ಇದು ಭಾಗಶಃ ಪುನರ್ರಚನೆ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ ಇಂಟೆಲ್ FPGA IP ಅನ್ನು ಬಳಸುತ್ತದೆ ಮತ್ತು ಸರಳ PR ಪ್ರದೇಶವನ್ನು ಹೊಂದಿದೆ. Intel Agilex ಸಾಧನದ ಬಾಹ್ಯ ಹೋಸ್ಟ್ ಹಾರ್ಡ್‌ವೇರ್ ಸೆಟಪ್ ಬಾಹ್ಯ ಸಾಧನ (ಸಹಾಯಕ FPGA), DUT FPGA ಮತ್ತು ನಿಮ್ಮ ಬಾಹ್ಯ ಹೋಸ್ಟ್ ವಿನ್ಯಾಸವನ್ನು ಒಳಗೊಂಡಿದೆ. ಬಾಹ್ಯ ಸಾಧನದಲ್ಲಿನ ಹೋಸ್ಟ್ ವಿನ್ಯಾಸವು PR ಪ್ರಕ್ರಿಯೆಯನ್ನು ಹೋಸ್ಟ್ ಮಾಡಲು ಕಾರಣವಾಗಿದೆ. PR ಪಿನ್‌ಗಳನ್ನು ಎರಡೂ ಸಾಧನಗಳನ್ನು ಸಂಪರ್ಕಿಸಲು ಬಳಸಲಾಗುತ್ತದೆ ಮತ್ತು ಲಭ್ಯವಿರುವ ಯಾವುದೇ ಬಳಕೆದಾರ I/Os ಆಗಿರಬಹುದು.

ಉತ್ಪನ್ನ ಬಳಕೆಯ ಸೂಚನೆಗಳು

ಬಾಹ್ಯ ಹೋಸ್ಟ್ ಕಾನ್ಫಿಗರೇಶನ್

ಬಾಹ್ಯ ಹೋಸ್ಟ್ ಕಾನ್ಫಿಗರೇಶನ್ ಅನ್ನು ನಿರ್ವಹಿಸಲು, ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:

  1. PR ಪ್ರಕ್ರಿಯೆಯನ್ನು ಹೋಸ್ಟ್ ಮಾಡಲು ಬಾಹ್ಯ ಸಾಧನದಲ್ಲಿ ಹೋಸ್ಟ್ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಿ.
  2. ಬಾಹ್ಯ ಸಾಧನದಿಂದ PR ಪಿನ್‌ಗಳನ್ನು DUT FPGA ಯಲ್ಲಿನ ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಬಾಹ್ಯ ಕಾನ್ಫಿಗರೇಶನ್ ನಿಯಂತ್ರಕ Intel FPGA IP ಗೆ ಸಂಪರ್ಕಪಡಿಸಿ.
  3. IP ಯಿಂದ PR ಹ್ಯಾಂಡ್‌ಶೇಕಿಂಗ್ ಸಿಗ್ನಲ್‌ಗಳಿಗೆ ಅನುಗುಣವಾದ Intel Agilex Avalon ಸ್ಟ್ರೀಮಿಂಗ್ ಇಂಟರ್ಫೇಸ್ ಪಿನ್‌ಗಳಿಗೆ ಹೋಸ್ಟ್ ವಿನ್ಯಾಸದಿಂದ ಸ್ಟ್ರೀಮ್ ಕಾನ್ಫಿಗರೇಶನ್ ಡೇಟಾ.

ಕಾನ್ಫಿಗರೇಶನ್ ಪಿನ್‌ಗಳ ಕಾರ್ಯಾಚರಣೆಯ ಮೂಲಕ ಭಾಗಶಃ ಮರುಸಂರಚನೆ

ಕೆಳಗಿನ ಅನುಕ್ರಮವು ಕಾನ್ಫಿಗರೇಶನ್ ಪಿನ್‌ಗಳ ಮೂಲಕ ಭಾಗಶಃ ಮರುಸಂರಚನೆಯ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ವಿವರಿಸುತ್ತದೆ:

  1. ಭಾಗಶಃ ಮರುಸಂರಚನಾ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ Intel FPGA IP ಗೆ ಸಂಪರ್ಕಗೊಂಡಿರುವ pr_request ಪಿನ್ ಅನ್ನು ಪ್ರತಿಪಾದಿಸಿ.
  2. PR ಪ್ರಕ್ರಿಯೆಯು ಪ್ರಗತಿಯಲ್ಲಿದೆ ಎಂದು ಸೂಚಿಸಲು IP ಕಾರ್ಯನಿರತ ಸಂಕೇತವನ್ನು ಪ್ರತಿಪಾದಿಸುತ್ತದೆ (ಐಚ್ಛಿಕ).
  3. PR ಕಾರ್ಯಾಚರಣೆಗಾಗಿ ಕಾನ್ಫಿಗರೇಶನ್ ಸಿಸ್ಟಮ್ ಸಿದ್ಧವಾಗಿದ್ದರೆ, avst_ready ಪಿನ್ ಅನ್ನು ಪ್ರತಿಪಾದಿಸಲಾಗುತ್ತದೆ, ಇದು ಡೇಟಾವನ್ನು ಸ್ವೀಕರಿಸಲು ಸಿದ್ಧವಾಗಿದೆ ಎಂದು ಸೂಚಿಸುತ್ತದೆ.
  4. ಬ್ಯಾಕ್‌ಪ್ರೆಶರ್‌ನೊಂದಿಗೆ ಡೇಟಾ ವರ್ಗಾವಣೆಗಾಗಿ Avalon ಸ್ಟ್ರೀಮಿಂಗ್ ವಿವರಣೆಯನ್ನು ಅನುಸರಿಸಿ, avst_data ಪಿನ್‌ಗಳು ಮತ್ತು avst_valid ಪಿನ್‌ನಲ್ಲಿ PR ಕಾನ್ಫಿಗರೇಶನ್ ಡೇಟಾವನ್ನು ಸ್ಟ್ರೀಮ್ ಮಾಡಿ.
  5. avst_ready ಪಿನ್ ದೃಢೀಕರಿಸಲ್ಪಟ್ಟಾಗ ಸ್ಟ್ರೀಮಿಂಗ್ ನಿಲ್ಲುತ್ತದೆ.
  6. PR ಕಾರ್ಯಾಚರಣೆಗೆ ಹೆಚ್ಚಿನ ಡೇಟಾ ಅಗತ್ಯವಿಲ್ಲ ಎಂದು ಸೂಚಿಸಲು avst_ready ಪಿನ್ ಅನ್ನು ಡಿ-ಸರ್ಟ್ ಮಾಡಿ.
  7. ಭಾಗಶಃ ಮರುಸಂರಚನಾ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ Intel FPGA IP ಪ್ರಕ್ರಿಯೆಯ ಅಂತ್ಯವನ್ನು ಸೂಚಿಸಲು ಕಾರ್ಯನಿರತ ಸಂಕೇತವನ್ನು ಪ್ರತಿಪಾದಿಸುತ್ತದೆ (ಐಚ್ಛಿಕ).

ಕಾನ್ಫಿಗರೇಶನ್ ಪಿನ್‌ಗಳ ಮೂಲಕ ಭಾಗಶಃ ಮರುಸಂರಚನೆ (ಬಾಹ್ಯ ಹೋಸ್ಟ್) ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ

ಈ ಅಪ್ಲಿಕೇಶನ್ ಟಿಪ್ಪಣಿಯು Intel® Agilex® F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್‌ನಲ್ಲಿ ಕಾನ್ಫಿಗರೇಶನ್ ಪಿನ್‌ಗಳ ಮೂಲಕ (ಬಾಹ್ಯ ಹೋಸ್ಟ್) ಭಾಗಶಃ ಮರುಸಂರಚನೆಯನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ.

ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ ಮುಗಿದಿದೆview

ಭಾಗಶಃ ಪುನರ್ವಿನ್ಯಾಸ (PR) ವೈಶಿಷ್ಟ್ಯವು FPGA ಯ ಒಂದು ಭಾಗವನ್ನು ಕ್ರಿಯಾತ್ಮಕವಾಗಿ ಮರುಸಂರಚಿಸಲು ನಿಮಗೆ ಅನುಮತಿಸುತ್ತದೆ, ಆದರೆ ಉಳಿದ FPGA ವಿನ್ಯಾಸವು ಕಾರ್ಯನಿರ್ವಹಿಸುವುದನ್ನು ಮುಂದುವರೆಸುತ್ತದೆ. ನಿಮ್ಮ ವಿನ್ಯಾಸದಲ್ಲಿ ನಿರ್ದಿಷ್ಟ ಪ್ರದೇಶಕ್ಕಾಗಿ ನೀವು ಬಹು ವ್ಯಕ್ತಿಗಳನ್ನು ರಚಿಸಬಹುದು ಅದು ಈ ಪ್ರದೇಶದ ಹೊರಗಿನ ಪ್ರದೇಶಗಳಲ್ಲಿ ಕಾರ್ಯಾಚರಣೆಯ ಮೇಲೆ ಪರಿಣಾಮ ಬೀರುವುದಿಲ್ಲ. ಒಂದೇ ಎಫ್‌ಪಿಜಿಎ ಸಾಧನ ಸಂಪನ್ಮೂಲಗಳನ್ನು ಬಹು ಕಾರ್ಯಗಳು ಸಮಯ-ಹಂಚಿಕೊಳ್ಳುವ ವ್ಯವಸ್ಥೆಗಳಲ್ಲಿ ಈ ವಿಧಾನವು ಪರಿಣಾಮಕಾರಿಯಾಗಿದೆ. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ® ಪ್ರೈಮ್ ಪ್ರೊ ಎಡಿಷನ್ ಸಾಫ್ಟ್‌ವೇರ್‌ನ ಪ್ರಸ್ತುತ ಆವೃತ್ತಿಯು ಭಾಗಶಃ ಮರುಸಂರಚನೆಗಾಗಿ ಹೊಸ ಮತ್ತು ಸರಳೀಕೃತ ಸಂಕಲನ ಹರಿವನ್ನು ಪರಿಚಯಿಸುತ್ತದೆ. ಈ ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವು ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ ಇಂಟೆಲ್ FPGA IP ಅನ್ನು ಬಳಸುತ್ತದೆ ಮತ್ತು ಸರಳ PR ಪ್ರದೇಶವನ್ನು ಹೊಂದಿದೆ.

ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಸಾಧನ ಬಾಹ್ಯ ಹೋಸ್ಟ್ ಹಾರ್ಡ್‌ವೇರ್ ಸೆಟಪ್intel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (1)

ಬಾಹ್ಯ ಹೋಸ್ಟ್ ಕಾನ್ಫಿಗರೇಶನ್

ಬಾಹ್ಯ ಹೋಸ್ಟ್ ಕಾನ್ಫಿಗರೇಶನ್‌ನಲ್ಲಿ, ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಡಿವೈಸ್ ಎಕ್ಸ್‌ಟರ್ನಲ್ ಹೋಸ್ಟ್ ಹಾರ್ಡ್‌ವೇರ್ ಸೆಟಪ್ ತೋರಿಸುವಂತೆ ನೀವು ಮೊದಲು ಪಿಆರ್ ಪ್ರಕ್ರಿಯೆಯನ್ನು ಹೋಸ್ಟ್ ಮಾಡಲು ಬಾಹ್ಯ ಸಾಧನದಲ್ಲಿ ಹೋಸ್ಟ್ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಬೇಕು. ಆತಿಥೇಯ ವಿನ್ಯಾಸವು ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಅವಲಾನ್ ಸ್ಟ್ರೀಮಿಂಗ್ ಇಂಟರ್ಫೇಸ್ ಪಿನ್‌ಗಳಿಗೆ ಕಾನ್ಫಿಗರೇಶನ್ ಡೇಟಾವನ್ನು ಸ್ಟ್ರೀಮ್ ಮಾಡುತ್ತದೆ, ಇದು ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಬಾಹ್ಯ ಕಾನ್ಫಿಗರೇಶನ್ ಕಂಟ್ರೋಲರ್ ಇಂಟೆಲ್ ಎಫ್‌ಪಿಜಿಎ ಐಪಿಯಿಂದ ಬರುವ ಪಿಆರ್ ಹ್ಯಾಂಡ್‌ಶೇಕಿಂಗ್ ಸಿಗ್ನಲ್‌ಗಳಿಗೆ ಅನುರೂಪವಾಗಿದೆ. ಎರಡೂ ಸಾಧನಗಳನ್ನು ಸಂಪರ್ಕಿಸಲು ನೀವು ಬಳಸುವ PR ಪಿನ್‌ಗಳು ಲಭ್ಯವಿರುವ ಯಾವುದೇ ಬಳಕೆದಾರ I/Os ಆಗಿರಬಹುದು.

ಕೆಳಗಿನ ಅನುಕ್ರಮವು ಕಾನ್ಫಿಗರೇಶನ್ ಪಿನ್‌ಗಳ ಕಾರ್ಯಾಚರಣೆಯ ಮೂಲಕ ಭಾಗಶಃ ಮರುಸಂರಚನೆಯನ್ನು ವಿವರಿಸುತ್ತದೆ:

  1. ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ Intel FPGA IP ಗೆ ಸಂಪರ್ಕಗೊಂಡಿರುವ pr_request ಪಿನ್ ಅನ್ನು ಮೊದಲು ಪ್ರತಿಪಾದಿಸಿ.
  2. PR ಪ್ರಕ್ರಿಯೆಯು ಪ್ರಗತಿಯಲ್ಲಿದೆ ಎಂದು ಸೂಚಿಸಲು IP ಕಾರ್ಯನಿರತ ಸಂಕೇತವನ್ನು ಪ್ರತಿಪಾದಿಸುತ್ತದೆ (ಐಚ್ಛಿಕ).
  3. ಕಾನ್ಫಿಗರೇಶನ್ ಸಿಸ್ಟಮ್ PR ಕಾರ್ಯಾಚರಣೆಗೆ ಒಳಗಾಗಲು ಸಿದ್ಧವಾಗಿದ್ದರೆ, avst_ready ಪಿನ್ ಡೇಟಾವನ್ನು ಸ್ವೀಕರಿಸಲು ಸಿದ್ಧವಾಗಿದೆ ಎಂದು ಸೂಚಿಸುತ್ತದೆ.
  4. ಬ್ಯಾಕ್‌ಪ್ರೆಶರ್‌ನೊಂದಿಗೆ ಡೇಟಾ ವರ್ಗಾವಣೆಗಾಗಿ Avalon ಸ್ಟ್ರೀಮಿಂಗ್ ವಿವರಣೆಯನ್ನು ಗಮನಿಸುವಾಗ PR ಕಾನ್ಫಿಗರೇಶನ್ ಡೇಟಾವನ್ನು avst_data ಪಿನ್‌ಗಳು ಮತ್ತು avst_valid ಪಿನ್ ಮೂಲಕ ಸ್ಟ್ರೀಮ್ ಮಾಡಲು ಪ್ರಾರಂಭಿಸಿ.
  5. avst_ready ಪಿನ್ ಅನ್ನು ಡಿ-ಸರ್ಟ್ ಮಾಡಿದಾಗಲೆಲ್ಲಾ ಸ್ಟ್ರೀಮಿಂಗ್ ನಿಲ್ಲುತ್ತದೆ.
  6. ಎಲ್ಲಾ ಕಾನ್ಫಿಗರೇಶನ್ ಡೇಟಾವನ್ನು ಸ್ಟ್ರೀಮಿಂಗ್ ಮಾಡಿದ ನಂತರ, PR ಕಾರ್ಯಾಚರಣೆಗೆ ಯಾವುದೇ ಹೆಚ್ಚಿನ ಡೇಟಾ ಅಗತ್ಯವಿಲ್ಲ ಎಂದು ಸೂಚಿಸಲು avst_ready ಪಿನ್ ಅನ್ನು ಡಿ-ದೃಢೀಕರಿಸಲಾಗುತ್ತದೆ.
  7. ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ Intel FPGA IP ಪ್ರಕ್ರಿಯೆಯ ಅಂತ್ಯವನ್ನು ಸೂಚಿಸಲು ಬಿಡುವಿಲ್ಲದ ಸಂಕೇತವನ್ನು ಸಿಹಿಗೊಳಿಸುತ್ತದೆ (ಐಚ್ಛಿಕ).
  8. PR ಕಾರ್ಯಾಚರಣೆಯು ಯಶಸ್ವಿಯಾಗಿ ಪೂರ್ಣಗೊಂಡಿದೆಯೇ ಎಂಬುದನ್ನು ಖಚಿತಪಡಿಸಲು ನೀವು pr_done ಮತ್ತು pr_error ಪಿನ್‌ಗಳನ್ನು ಪರಿಶೀಲಿಸಬಹುದು. ಆವೃತ್ತಿಯ ಪರಿಶೀಲನೆ ಮತ್ತು ದೃಢೀಕರಣ ಪರಿಶೀಲನೆಯಲ್ಲಿ ವೈಫಲ್ಯದಂತಹ ದೋಷ ಸಂಭವಿಸಿದಲ್ಲಿ, PR ಕಾರ್ಯಾಚರಣೆಯು ಕೊನೆಗೊಳ್ಳುತ್ತದೆ.

ಸಂಬಂಧಿತ ಮಾಹಿತಿ

  • Intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್ Web ಪುಟ
  • Intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
  • ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ: ಭಾಗಶಃ ಮರುಸಂರಚನೆ

ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ Intel FPGA IP
PR ಕಾರ್ಯಾಚರಣೆಗಾಗಿ PR ಡೇಟಾವನ್ನು ಸ್ಟ್ರೀಮ್ ಮಾಡಲು ಕಾನ್ಫಿಗರೇಶನ್ ಪಿನ್‌ಗಳನ್ನು ಬಳಸಲು ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಬಾಹ್ಯ ಕಾನ್ಫಿಗರೇಶನ್ ನಿಯಂತ್ರಕ ಅಗತ್ಯವಿದೆ. ಕೋರ್‌ನಿಂದ ಸುರಕ್ಷಿತ ಸಾಧನ ನಿರ್ವಾಹಕ (SDM) ನೊಂದಿಗೆ ಹೋಸ್ಟ್‌ನ ಹ್ಯಾಂಡ್‌ಶೇಕಿಂಗ್ ಅನ್ನು ಅನುಮತಿಸಲು ನೀವು ಭಾಗಶಃ ಮರುಸಂರಚನಾ ಬಾಹ್ಯ ಕಾನ್ಫಿಗರೇಶನ್ ನಿಯಂತ್ರಕ Intel FPGA IP ಯ ಎಲ್ಲಾ ಉನ್ನತ ಮಟ್ಟದ ಪೋರ್ಟ್‌ಗಳನ್ನು pr_request ಪಿನ್‌ಗೆ ಸಂಪರ್ಕಿಸಬೇಕು. ನಿಮ್ಮ MSEL ಸೆಟ್ಟಿಂಗ್‌ಗೆ ಅನುಗುಣವಾಗಿ ಯಾವ ರೀತಿಯ ಕಾನ್ಫಿಗರೇಶನ್ ಪಿನ್‌ಗಳನ್ನು ಬಳಸಬೇಕೆಂದು SDM ನಿರ್ಧರಿಸುತ್ತದೆ.

ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ Intel FPGA IPintel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (2)

ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ ಪ್ಯಾರಾಮೀಟರ್ ಸೆಟ್ಟಿಂಗ್‌ಗಳು

ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯ ವಿವರಣೆ
ಕಾರ್ಯನಿರತ ಇಂಟರ್ಫೇಸ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಸಕ್ರಿಯಗೊಳಿಸಿ or

ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಿ

ಬ್ಯುಸಿ ಇಂಟರ್ಫೇಸ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಅಥವಾ ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಲು ನಿಮಗೆ ಅನುಮತಿಸುತ್ತದೆ, ಇದು ಬಾಹ್ಯ ಕಾನ್ಫಿಗರೇಶನ್ ಸಮಯದಲ್ಲಿ PR ಪ್ರಕ್ರಿಯೆಯು ಪ್ರಗತಿಯಲ್ಲಿದೆ ಎಂದು ಸೂಚಿಸಲು ಸಂಕೇತವನ್ನು ಪ್ರತಿಪಾದಿಸುತ್ತದೆ.

ಡೀಫಾಲ್ಟ್ ಸೆಟ್ಟಿಂಗ್ ಆಗಿದೆ ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಿ.

ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ ಬಂದರುಗಳು

ಪೋರ್ಟ್ ಹೆಸರು ಅಗಲ ನಿರ್ದೇಶನ ಕಾರ್ಯ
pr_request 1 ಇನ್ಪುಟ್ PR ಪ್ರಕ್ರಿಯೆಯು ಪ್ರಾರಂಭವಾಗಲು ಸಿದ್ಧವಾಗಿದೆ ಎಂದು ಸೂಚಿಸುತ್ತದೆ. ಸಂಕೇತವು ಯಾವುದೇ ಗಡಿಯಾರದ ಸಂಕೇತಕ್ಕೆ ಸಿಂಕ್ರೊನಸ್ ಆಗದ ವಾಹಕವಾಗಿದೆ.
pr_error 2 ಔಟ್ಪುಟ್ ಭಾಗಶಃ ಮರುಸಂರಚನಾ ದೋಷವನ್ನು ಸೂಚಿಸುತ್ತದೆ.:

• 2'b01—ಸಾಮಾನ್ಯ PR ದೋಷ

• 2'b11-ಹೊಂದಾಣಿಕೆಯಾಗದ ಬಿಟ್‌ಸ್ಟ್ರೀಮ್ ದೋಷ

ಈ ಸಿಗ್ನಲ್‌ಗಳು ಯಾವುದೇ ಗಡಿಯಾರ ಮೂಲಕ್ಕೆ ಸಿಂಕ್ರೊನಸ್ ಆಗದ ವಾಹಕಗಳಾಗಿವೆ.

pr_done 1 ಔಟ್ಪುಟ್ PR ಪ್ರಕ್ರಿಯೆಯು ಪೂರ್ಣಗೊಂಡಿದೆ ಎಂದು ಸೂಚಿಸುತ್ತದೆ. ಸಂಕೇತವು ಯಾವುದೇ ಗಡಿಯಾರದ ಸಂಕೇತಕ್ಕೆ ಸಿಂಕ್ರೊನಸ್ ಆಗದ ವಾಹಕವಾಗಿದೆ.
start_addr 1 ಇನ್ಪುಟ್ ಸಕ್ರಿಯ ಸೀರಿಯಲ್ ಫ್ಲ್ಯಾಶ್‌ನಲ್ಲಿ PR ಡೇಟಾದ ಪ್ರಾರಂಭದ ವಿಳಾಸವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಯಾವುದನ್ನಾದರೂ ಆಯ್ಕೆ ಮಾಡುವ ಮೂಲಕ ನೀವು ಈ ಸಿಗ್ನಲ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತೀರಿ ಅವಲೋನ್®-ಎಸ್ಟಿ or ಸಕ್ರಿಯ ಧಾರಾವಾಹಿ ಗಾಗಿ Avalon-ST ಪಿನ್‌ಗಳು ಅಥವಾ ಸಕ್ರಿಯ ಸರಣಿ ಪಿನ್‌ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ನಿಯತಾಂಕ. ಸಂಕೇತವು ಯಾವುದೇ ಗಡಿಯಾರದ ಸಂಕೇತಕ್ಕೆ ಸಿಂಕ್ರೊನಸ್ ಆಗದ ವಾಹಕವಾಗಿದೆ.
ಮರುಹೊಂದಿಸಿ 1 ಇನ್ಪುಟ್ ಸಕ್ರಿಯ ಹೆಚ್ಚಿನ, ಸಿಂಕ್ರೊನಸ್ ರೀಸೆಟ್ ಸಿಗ್ನಲ್.
out_clk 1 ಔಟ್ಪುಟ್ ಆಂತರಿಕ ಆಂದೋಲಕದಿಂದ ಉತ್ಪಾದಿಸುವ ಗಡಿಯಾರ ಮೂಲ.
ಕಾರ್ಯನಿರತ 1 ಔಟ್ಪುಟ್ PR ಡೇಟಾ ವರ್ಗಾವಣೆ ಪ್ರಗತಿಯಲ್ಲಿದೆ ಎಂದು ಸೂಚಿಸಲು IP ಈ ಸಂಕೇತವನ್ನು ಪ್ರತಿಪಾದಿಸುತ್ತದೆ. ಆಯ್ಕೆ ಮಾಡುವ ಮೂಲಕ ನೀವು ಈ ಸಿಗ್ನಲ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತೀರಿ ಸಕ್ರಿಯಗೊಳಿಸಿ ಗಾಗಿ ಬಿಡುವಿಲ್ಲದ ಇಂಟರ್ಫೇಸ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ನಿಯತಾಂಕ.

ಉಲ್ಲೇಖ ವಿನ್ಯಾಸದ ಅವಶ್ಯಕತೆಗಳು

ಈ ಉಲ್ಲೇಖ ವಿನ್ಯಾಸದ ಬಳಕೆಗೆ ಈ ಕೆಳಗಿನ ಅಗತ್ಯವಿದೆ:

  • ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಸಾಧನ ಕುಟುಂಬಕ್ಕೆ ಬೆಂಬಲದೊಂದಿಗೆ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಆವೃತ್ತಿ 22.3 ನ ಸ್ಥಾಪನೆ.
  • ಬೆಂಚ್‌ನಲ್ಲಿರುವ Intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್‌ಗೆ ಸಂಪರ್ಕ.
  • ವಿನ್ಯಾಸದ ಡೌನ್‌ಲೋಡ್ ಮಾಜಿampಕೆಳಗಿನ ಸ್ಥಳದಲ್ಲಿ ಲಭ್ಯವಿದೆ: https://github.com/intel/fpga-partial-reconfig.

ವಿನ್ಯಾಸವನ್ನು ಡೌನ್‌ಲೋಡ್ ಮಾಡಲು ಮಾಜಿampಲೆ:

  1. ಕ್ಲೋನ್ ಕ್ಲಿಕ್ ಮಾಡಿ ಅಥವಾ ಡೌನ್‌ಲೋಡ್ ಮಾಡಿ.
  2. ಡೌನ್‌ಲೋಡ್ ZIP ಕ್ಲಿಕ್ ಮಾಡಿ. fpga-partial-reconfig-master.zip ಅನ್ನು ಅನ್ಜಿಪ್ ಮಾಡಿ file.
  3. ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವನ್ನು ಪ್ರವೇಶಿಸಲು ಟ್ಯುಟೋರಿಯಲ್‌ಗಳು/agilex_external_pr_configuration ಉಪಫೋಲ್ಡರ್‌ಗೆ ನ್ಯಾವಿಗೇಟ್ ಮಾಡಿ.

ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ ದರ್ಶನ

ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಎಫ್-ಸಿರೀಸ್ ಎಫ್‌ಪಿಜಿಎ ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್‌ನಲ್ಲಿ ಕಾನ್ಫಿಗರೇಶನ್ ಪಿನ್‌ಗಳ ಮೂಲಕ (ಬಾಹ್ಯ ಹೋಸ್ಟ್) ಭಾಗಶಃ ಮರುಸಂರಚನೆಯ ಅನುಷ್ಠಾನವನ್ನು ಈ ಕೆಳಗಿನ ಹಂತಗಳು ವಿವರಿಸುತ್ತವೆ:

  • ಹಂತ 1: ಶುರುವಾಗುತ್ತಿದೆ
  • ಹಂತ 2: ವಿನ್ಯಾಸ ವಿಭಾಗವನ್ನು ರಚಿಸಲಾಗುತ್ತಿದೆ
  • ಹಂತ 3: ನಿಯೋಜನೆ ಮತ್ತು ರೂಟಿಂಗ್ ಪ್ರದೇಶಗಳನ್ನು ನಿಯೋಜಿಸುವುದು
  • ಹಂತ 4: ಭಾಗಶಃ ಮರುಸಂರಚನೆಯನ್ನು ಸೇರಿಸಲಾಗುತ್ತಿದೆ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ IP
  • ಹಂತ 5: ವ್ಯಕ್ತಿಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸುವುದು
  • ಹಂತ 6: ಪರಿಷ್ಕರಣೆಗಳನ್ನು ರಚಿಸುವುದು
  • ಹಂತ 7: ಮೂಲ ಪರಿಷ್ಕರಣೆ ಕಂಪೈಲ್ ಮಾಡುವುದು
  • ಹಂತ 8: PR ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ಸಿದ್ಧಪಡಿಸಲಾಗುತ್ತಿದೆ
  • ಹಂತ 9: ಬೋರ್ಡ್ ಅನ್ನು ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಮಾಡುವುದು

ಹಂತ 1: ಪ್ರಾರಂಭಿಸುವುದು
ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವನ್ನು ನಕಲಿಸಲು fileನಿಮ್ಮ ಕೆಲಸದ ವಾತಾವರಣಕ್ಕೆ ರು ಮತ್ತು ಬ್ಲಿಂಕಿಂಗ್_ಲೆಡ್ ಫ್ಲಾಟ್ ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಿ:

  1. ನಿಮ್ಮ ಕೆಲಸದ ಪರಿಸರದಲ್ಲಿ ಡೈರೆಕ್ಟರಿಯನ್ನು ರಚಿಸಿ, agilex_pcie_devkit_blinking_led_pr.
  2. ಡೌನ್‌ಲೋಡ್ ಮಾಡಿದ ಟ್ಯುಟೋರಿಯಲ್‌ಗಳು/agilex_pcie_devkit_blinking_led/flat ಉಪ-ಫೋಲ್ಡರ್ ಅನ್ನು ಡೈರೆಕ್ಟರಿಗೆ ನಕಲಿಸಿ, agilex_pcie_devkit_blinking_led_pr.
  3. Intel Quartus Prime Pro Edition ಸಾಫ್ಟ್‌ವೇರ್‌ನಲ್ಲಿ, ಕ್ಲಿಕ್ ಮಾಡಿ File ➤ ಪ್ರಾಜೆಕ್ಟ್ ತೆರೆಯಿರಿ ಮತ್ತು blinking_led.qpf ಆಯ್ಕೆಮಾಡಿ.
  4. ಫ್ಲಾಟ್ ವಿನ್ಯಾಸದ ಕ್ರಮಾನುಗತವನ್ನು ವಿವರಿಸಲು, ಸಂಸ್ಕರಣೆ ➤ ಪ್ರಾರಂಭಿಸಿ ➤ ವಿಶ್ಲೇಷಣೆ ಮತ್ತು ಸಂಶ್ಲೇಷಣೆ ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪರ್ಯಾಯವಾಗಿ, ಕಮಾಂಡ್-ಲೈನ್‌ನಲ್ಲಿ, ಈ ಕೆಳಗಿನ ಆಜ್ಞೆಯನ್ನು ಚಲಾಯಿಸಿ: quartus_syn blinking_led -c blinking_led

ವಿನ್ಯಾಸ ವಿಭಾಗವನ್ನು ರಚಿಸಲಾಗುತ್ತಿದೆ

ನೀವು ಭಾಗಶಃ ಮರುಸಂರಚಿಸಲು ಬಯಸುವ ಪ್ರತಿಯೊಂದು PR ಪ್ರದೇಶಕ್ಕೂ ನೀವು ವಿನ್ಯಾಸ ವಿಭಾಗಗಳನ್ನು ರಚಿಸಬೇಕು. ಕೆಳಗಿನ ಹಂತಗಳು u_blinking_led ನಿದರ್ಶನಕ್ಕಾಗಿ ವಿನ್ಯಾಸ ವಿಭಾಗವನ್ನು ರಚಿಸುತ್ತವೆ.

ವಿನ್ಯಾಸ ವಿಭಾಗಗಳನ್ನು ರಚಿಸಲಾಗುತ್ತಿದೆintel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (3)

  1. ಪ್ರಾಜೆಕ್ಟ್ ನ್ಯಾವಿಗೇಟರ್‌ನಲ್ಲಿ u_blinking_led ನಿದರ್ಶನವನ್ನು ರೈಟ್-ಕ್ಲಿಕ್ ಮಾಡಿ ಮತ್ತು ವಿನ್ಯಾಸ ವಿಭಾಗವನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ ➤ ಮರುಸಂರಚಿಸಬಹುದು. ವಿಭಜನೆಯಾಗಿ ಹೊಂದಿಸಲಾದ ಪ್ರತಿಯೊಂದು ನಿದರ್ಶನದ ಪಕ್ಕದಲ್ಲಿ ವಿನ್ಯಾಸ ವಿಭಾಗದ ಐಕಾನ್ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
  2. ನಿಯೋಜನೆಗಳು ➤ ವಿನ್ಯಾಸ ವಿಭಾಗಗಳ ವಿಂಡೋ ಕ್ಲಿಕ್ ಮಾಡಿ. ವಿಂಡೋವು ಯೋಜನೆಯಲ್ಲಿನ ಎಲ್ಲಾ ವಿನ್ಯಾಸ ವಿಭಾಗಗಳನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ.
  3. ಹೆಸರನ್ನು ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡುವ ಮೂಲಕ ವಿನ್ಯಾಸ ವಿಭಾಗಗಳ ವಿಂಡೋದಲ್ಲಿ ವಿಭಾಗದ ಹೆಸರನ್ನು ಸಂಪಾದಿಸಿ. ಈ ಉಲ್ಲೇಖ ವಿನ್ಯಾಸಕ್ಕಾಗಿ, ವಿಭಾಗದ ಹೆಸರನ್ನು pr_partition ಎಂದು ಮರುಹೆಸರಿಸಿ
    • ಗಮನಿಸಿ: ನೀವು ವಿಭಾಗವನ್ನು ರಚಿಸಿದಾಗ, ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್‌ವೇರ್ ನಿದರ್ಶನದ ಹೆಸರು ಮತ್ತು ಕ್ರಮಾನುಗತ ಮಾರ್ಗವನ್ನು ಆಧರಿಸಿ ವಿಭಾಗ ಹೆಸರನ್ನು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಉತ್ಪಾದಿಸುತ್ತದೆ. ಈ ಡೀಫಾಲ್ಟ್ ವಿಭಾಗದ ಹೆಸರು ಪ್ರತಿ ನಿದರ್ಶನದೊಂದಿಗೆ ಬದಲಾಗಬಹುದು.
  4. ಮೂಲ ಪರಿಷ್ಕರಣೆ ಕಂಪೈಲ್‌ನಿಂದ ಅಂತಿಮಗೊಳಿಸಿದ ಸ್ಥಿರ ಪ್ರದೇಶವನ್ನು ರಫ್ತು ಮಾಡಲು, ಅಂತಿಮ ರಫ್ತು ನಂತರದ ರೂಟ್_ಪಾರ್ಟಿಷನ್‌ಗಾಗಿ ನಮೂದನ್ನು ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡಿ File ಕಾಲಮ್, ಮತ್ತು blinking_led_static ಎಂದು ಟೈಪ್ ಮಾಡಿ. gdb

ವಿನ್ಯಾಸ ವಿಭಾಗಗಳ ವಿಂಡೋದಲ್ಲಿ ಪೋಸ್ಟ್ ಅಂತಿಮ ಸ್ನ್ಯಾಪ್‌ಶಾಟ್ ಅನ್ನು ರಫ್ತು ಮಾಡಲಾಗುತ್ತಿದೆintel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (4)blinking_led.qsf ನಿಮ್ಮ ಮರುಸಂರಚಿಸಬಹುದಾದ ವಿನ್ಯಾಸ ವಿಭಾಗಕ್ಕೆ ಅನುಗುಣವಾಗಿ ಈ ಕೆಳಗಿನ ಕಾರ್ಯಯೋಜನೆಗಳನ್ನು ಹೊಂದಿದೆಯೇ ಎಂದು ಪರಿಶೀಲಿಸಿ:intel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (5)

ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಯಲ್ಲಿ "ವಿನ್ಯಾಸ ವಿಭಾಗಗಳನ್ನು ರಚಿಸಿ": ಭಾಗಶಃ ಮರುಸಂರಚನೆ

PR ವಿಭಜನೆಗಾಗಿ ನಿಯೋಜನೆ ಮತ್ತು ರೂಟಿಂಗ್ ಪ್ರದೇಶವನ್ನು ನಿಯೋಜಿಸುವುದು
ನೀವು ರಚಿಸುವ ಪ್ರತಿಯೊಂದು ಮೂಲ ಪರಿಷ್ಕರಣೆಗಾಗಿ, PR ವಿನ್ಯಾಸದ ಹರಿವು ನಿಮ್ಮ PR ವಿಭಜನಾ ಪ್ರದೇಶದಲ್ಲಿ ಅನುಗುಣವಾದ ಪರ್ಸನಾ ಕೋರ್ ಅನ್ನು ಇರಿಸುತ್ತದೆ. ನಿಮ್ಮ ಮೂಲ ಪರಿಷ್ಕರಣೆಗಾಗಿ ಸಾಧನದ ಫ್ಲೋರ್‌ಪ್ಲಾನ್‌ನಲ್ಲಿ PR ಪ್ರದೇಶವನ್ನು ಪತ್ತೆಹಚ್ಚಲು ಮತ್ತು ನಿಯೋಜಿಸಲು:

  1. ಪ್ರಾಜೆಕ್ಟ್ ನ್ಯಾವಿಗೇಟರ್‌ನಲ್ಲಿ u_blinking_led ನಿದರ್ಶನವನ್ನು ರೈಟ್-ಕ್ಲಿಕ್ ಮಾಡಿ ಮತ್ತು ಲಾಜಿಕ್ ಲಾಕ್ ರೀಜನ್ ಕ್ಲಿಕ್ ಮಾಡಿ ➤ ಹೊಸ ಲಾಜಿಕ್ ಲಾಕ್ ರೀಜನ್ ಅನ್ನು ರಚಿಸಿ. ಪ್ರದೇಶವು ಲಾಜಿಕ್ ಲಾಕ್ ರೀಜನ್ಸ್ ವಿಂಡೋದಲ್ಲಿ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
  2. ನಿಮ್ಮ ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಪ್ರದೇಶವು ಮಿಟುಕಿಸುವ_ಲೆಡ್ ಲಾಜಿಕ್ ಅನ್ನು ಒಳಗೊಂಡಿರಬೇಕು. ಚಿಪ್ ಪ್ಲಾನರ್‌ನಲ್ಲಿ ನೋಡ್ ಅನ್ನು ಪತ್ತೆ ಮಾಡುವ ಮೂಲಕ ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಪ್ರದೇಶವನ್ನು ಆಯ್ಕೆಮಾಡಿ. ಲಾಜಿಕ್ ಲಾಕ್ ರೀಜನ್ಸ್ ವಿಂಡೋದಲ್ಲಿ u_blinking_led ಪ್ರದೇಶದ ಹೆಸರನ್ನು ರೈಟ್-ಕ್ಲಿಕ್ ಮಾಡಿ ಮತ್ತು ಕ್ಲಿಕ್ ಮಾಡಿ

ನೋಡ್ ಅನ್ನು ಪತ್ತೆ ಮಾಡಿ ➤ ಚಿಪ್ ಪ್ಲಾನರ್‌ನಲ್ಲಿ ಪತ್ತೆ ಮಾಡಿ. u_blinking_led ಪ್ರದೇಶವು ಬಣ್ಣ-ಕೋಡೆಡ್ ಆಗಿದೆ

blinking_led ಗಾಗಿ ಚಿಪ್ ಪ್ಲಾನರ್ ನೋಡ್ ಸ್ಥಳintel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (6)

  1. ಲಾಜಿಕ್ ಲಾಕ್ ರೀಜನ್ಸ್ ವಿಂಡೋದಲ್ಲಿ, ಆರಿಜಿನ್ ಕಾಲಮ್‌ನಲ್ಲಿ ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಪ್ರದೇಶದ ಕೋ-ಆರ್ಡಿನೇಟ್‌ಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ. ಮೂಲವು ಪ್ರದೇಶದ ಕೆಳಗಿನ ಎಡ ಮೂಲೆಗೆ ಅನುರೂಪವಾಗಿದೆ. ಉದಾಹರಣೆಗೆample, (X1 Y1) ಕೋ-ಆರ್ಡಿನೇಟ್‌ಗಳೊಂದಿಗೆ (163 4) ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಪ್ರದೇಶವನ್ನು ಹೊಂದಿಸಲು, ಮೂಲವನ್ನು X163_Y4 ಎಂದು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್‌ವೇರ್ ನೀವು ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಎತ್ತರ ಮತ್ತು ಅಗಲದ ಆಧಾರದ ಮೇಲೆ ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಪ್ರದೇಶಕ್ಕಾಗಿ (X2 Y2) ಕೋ-ಆರ್ಡಿನೇಟ್‌ಗಳನ್ನು (ಮೇಲಿನ-ಬಲ) ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಲೆಕ್ಕಾಚಾರ ಮಾಡುತ್ತದೆ.
    • ಗಮನಿಸಿ: ಈ ಟ್ಯುಟೋರಿಯಲ್ (X1 Y1) ಕೋ-ಆರ್ಡಿನೇಟ್‌ಗಳನ್ನು ಬಳಸುತ್ತದೆ - (163 4), ಮತ್ತು ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಪ್ರದೇಶಕ್ಕಾಗಿ 20 ರ ಎತ್ತರ ಮತ್ತು ಅಗಲ. ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಪ್ರದೇಶಕ್ಕೆ ಯಾವುದೇ ಮೌಲ್ಯವನ್ನು ವಿವರಿಸಿ. ಪ್ರದೇಶವು ಬ್ಲಿಂಕಿಂಗ್_ಲೆಡ್ ಲಾಜಿಕ್ ಅನ್ನು ಒಳಗೊಂಡಿದೆ ಎಂದು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿ.
  2. ಕಾಯ್ದಿರಿಸಿದ ಮತ್ತು ಕೋರ್-ಮಾತ್ರ ಆಯ್ಕೆಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ.
  3. ರೂಟಿಂಗ್ ರೀಜನ್ ಆಯ್ಕೆಯನ್ನು ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡಿ. ಲಾಜಿಕ್ ಲಾಕ್ ರೂಟಿಂಗ್ ರೀಜನ್ ಸೆಟ್ಟಿಂಗ್ಸ್ ಡೈಲಾಗ್ ಬಾಕ್ಸ್ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
  4. ರೂಟಿಂಗ್ ಪ್ರಕಾರಕ್ಕಾಗಿ ವಿಸ್ತರಣೆಯೊಂದಿಗೆ ಸ್ಥಿರ ಆಯ್ಕೆಮಾಡಿ. ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸುವುದರಿಂದ ಸ್ವಯಂಚಾಲಿತವಾಗಿ 2 ರ ವಿಸ್ತರಣೆಯ ಉದ್ದವನ್ನು ನಿಯೋಜಿಸುತ್ತದೆ.
    • ಗಮನಿಸಿ: ರೂಟಿಂಗ್ ಪ್ರದೇಶವು ಪ್ಲೇಸ್‌ಮೆಂಟ್ ಪ್ರದೇಶಕ್ಕಿಂತ ದೊಡ್ಡದಾಗಿರಬೇಕು, ಇಂಜಿನ್ ವಿಭಿನ್ನ ವ್ಯಕ್ತಿಗಳ ಮಾರ್ಗಗಳನ್ನು ಹೊಂದಿರುವಾಗ ಫಿಟ್ಟರ್‌ಗೆ ಹೆಚ್ಚುವರಿ ನಮ್ಯತೆಯನ್ನು ಒದಗಿಸುತ್ತದೆ.

ಲಾಜಿಕ್ ಲಾಕ್ ಪ್ರದೇಶಗಳ ವಿಂಡೋintel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (7)blinking_led.qsf ನಿಮ್ಮ ಫ್ಲೋರ್‌ಪ್ಲಾನಿಂಗ್‌ಗೆ ಅನುಗುಣವಾಗಿ ಈ ಕೆಳಗಿನ ಕಾರ್ಯಯೋಜನೆಗಳನ್ನು ಹೊಂದಿದೆಯೇ ಎಂದು ಪರಿಶೀಲಿಸಿ:intel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (8)intel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (9)

ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಯಲ್ಲಿ "ಭಾಗಶಃ ಮರುಸಂರಚನಾ ವಿನ್ಯಾಸದ ಮಹಡಿಯನ್ನು ಯೋಜಿಸಿ": ಭಾಗಶಃ ಮರುಸಂರಚನೆ

ಭಾಗಶಃ ಮರುಸಂರಚನೆಯನ್ನು ಸೇರಿಸಲಾಗುತ್ತಿದೆ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ Intel FPGA IP
ಬಿಟ್‌ಸ್ಟ್ರೀಮ್ ಮೂಲವನ್ನು ನಿರ್ವಹಿಸಲು ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಪಿಆರ್ ಕಂಟ್ರೋಲ್ ಬ್ಲಾಕ್‌ನೊಂದಿಗೆ ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ ಇಂಟೆಲ್ ಎಫ್‌ಪಿಜಿಎ ಐಪಿ ಇಂಟರ್‌ಫೇಸ್‌ಗಳು. ಬಾಹ್ಯ ಸಂರಚನೆಯನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸಲು ನಿಮ್ಮ ವಿನ್ಯಾಸಕ್ಕೆ ನೀವು ಈ IP ಅನ್ನು ಸೇರಿಸಬೇಕು. ಭಾಗಶಃ ಮರುಸಂರಚನಾ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕವನ್ನು ಸೇರಿಸಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ
ನಿಮ್ಮ ಯೋಜನೆಗೆ Intel FPGA IP:

  1. IP ಕ್ಯಾಟಲಾಗ್ ಹುಡುಕಾಟ ಕ್ಷೇತ್ರದಲ್ಲಿ ಭಾಗಶಃ ಮರುಸಂರಚನೆಯನ್ನು ಟೈಪ್ ಮಾಡಿ (ಉಪಕರಣಗಳು ➤ IP ಕ್ಯಾಟಲಾಗ್).
  2. ಭಾಗಶಃ ಮರುಸಂರಚನೆ ಬಾಹ್ಯ ಸಂರಚನಾ ನಿಯಂತ್ರಕ Intel FPGA IP ಅನ್ನು ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡಿ.
  3. IP ರೂಪಾಂತರವನ್ನು ರಚಿಸಿ ಸಂವಾದ ಪೆಟ್ಟಿಗೆಯಲ್ಲಿ, external_host_pr_ip ಎಂದು ಟೈಪ್ ಮಾಡಿ File ಹೆಸರು, ತದನಂತರ ರಚಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
  4. ಕಾರ್ಯನಿರತ ಇಂಟರ್ಫೇಸ್ ನಿಯತಾಂಕವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು, ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಿ (ಡೀಫಾಲ್ಟ್ ಸೆಟ್ಟಿಂಗ್) ಆಯ್ಕೆಮಾಡಿ. ನೀವು ಈ ಸಿಗ್ನಲ್ ಅನ್ನು ಬಳಸಬೇಕಾದಾಗ, ನೀವು ಸೆಟ್ಟಿಂಗ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಬದಲಾಯಿಸಬಹುದು.

ಪ್ಯಾರಾಮೀಟರ್ ಸಂಪಾದಕದಲ್ಲಿ ಬ್ಯುಸಿ ಇಂಟರ್ಫೇಸ್ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿintel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (10)

  1. ಕ್ಲಿಕ್ ಮಾಡಿ File ➤ ಸಿಸ್ಟಮ್ ಅನ್ನು ಉತ್ಪಾದಿಸದೆಯೇ ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಅನ್ನು ಉಳಿಸಿ ಮತ್ತು ನಿರ್ಗಮಿಸಿ. ಪ್ಯಾರಾಮೀಟರ್ ಸಂಪಾದಕವು external_host_pr_ip.ip IP ಬದಲಾವಣೆಯನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ file ಮತ್ತು ಸೇರಿಸುತ್ತದೆ file blinking_led ಯೋಜನೆಗೆ. AN 991: ಕಾನ್ಫಿಗರೇಶನ್ ಪಿನ್‌ಗಳ ಮೂಲಕ ಭಾಗಶಃ ಮರುಸಂರಚನೆ (ಬಾಹ್ಯ ಹೋಸ್ಟ್) ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ 750856 | 2022.11.14 AN 991:
    • ಗಮನಿಸಿ:
    • a. ನೀವು external_host_pr_ip.ip ಅನ್ನು ನಕಲಿಸುತ್ತಿದ್ದರೆ file pr ಡೈರೆಕ್ಟರಿಯಿಂದ, blinking_led.qsf ಅನ್ನು ಹಸ್ತಚಾಲಿತವಾಗಿ ಸಂಪಾದಿಸಿ file ಕೆಳಗಿನ ಸಾಲನ್ನು ಸೇರಿಸಲು: set_global_assignment -name IP_FILE pr_ip.ip
    • b. IP_ ಅನ್ನು ಇರಿಸಿFILE SDC_ ನಂತರ ನಿಯೋಜನೆFILE ನಿಯೋಜನೆಗಳು (blinking_led. dc) ನಿಮ್ಮ blinking_led.qsf ನಲ್ಲಿ file. ಈ ಆದೇಶವು ಭಾಗಶಃ ಮರುಸಂರಚನಾ ನಿಯಂತ್ರಕ IP ಕೋರ್‌ನ ಸೂಕ್ತ ನಿರ್ಬಂಧವನ್ನು ಖಚಿತಪಡಿಸುತ್ತದೆ.
    • ಗಮನಿಸಿ: ಗಡಿಯಾರಗಳನ್ನು ಪತ್ತೆಹಚ್ಚಲು, .sdc file PR IP ಗಾಗಿ IP ಕೋರ್ ಬಳಸುವ ಗಡಿಯಾರಗಳನ್ನು ರಚಿಸುವ ಯಾವುದೇ .sdc ಅನ್ನು ಅನುಸರಿಸಬೇಕು. .ip ಎಂದು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳುವ ಮೂಲಕ ನೀವು ಈ ಆದೇಶವನ್ನು ಸುಗಮಗೊಳಿಸುತ್ತೀರಿ file PR IP ಕೋರ್ ಯಾವುದೇ .ip ನಂತರ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ files ಅಥವಾ .sdc file.qsf ನಲ್ಲಿ ಈ ಗಡಿಯಾರಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸಲು ನೀವು ಬಳಸುವ s file ನಿಮ್ಮ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರಾಜೆಕ್ಟ್ ಪರಿಷ್ಕರಣೆಗಾಗಿ. ಹೆಚ್ಚಿನ ಮಾಹಿತಿಗಾಗಿ, ಭಾಗಶಃ ಪುನರ್ರಚನೆ ಐಪಿ ಪರಿಹಾರಗಳ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಯನ್ನು ನೋಡಿ.

ಉನ್ನತ ಮಟ್ಟದ ವಿನ್ಯಾಸವನ್ನು ನವೀಕರಿಸಲಾಗುತ್ತಿದೆ

top.sv ಅನ್ನು ನವೀಕರಿಸಲು file PR_IP ನಿದರ್ಶನದೊಂದಿಗೆ:

  1. ಉನ್ನತ ಮಟ್ಟದ ವಿನ್ಯಾಸಕ್ಕೆ external_host_pr_ip ನಿದರ್ಶನವನ್ನು ಸೇರಿಸಲು, top.sv ನಲ್ಲಿ ಈ ಕೆಳಗಿನ ಕೋಡ್ ಬ್ಲಾಕ್‌ಗಳನ್ನು ಅನ್‌ಕಾಮೆಂಟ್ ಮಾಡಿ file:intel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (11)

ವ್ಯಕ್ತಿಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸುವುದು
ಈ ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವು ಏಕ PR ವಿಭಜನೆಗಾಗಿ ಮೂರು ಪ್ರತ್ಯೇಕ ವ್ಯಕ್ತಿಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸುತ್ತದೆ. ನಿಮ್ಮ ಯೋಜನೆಯಲ್ಲಿ ವ್ಯಕ್ತಿಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸಲು ಮತ್ತು ಸೇರಿಸಲು:

  1. ಮೂರು SystemVerilog ಅನ್ನು ರಚಿಸಿ files, blinking_led.sv, blinking_led_slow.sv, ಮತ್ತು blinking_led_empty.sv ಮೂರು ವ್ಯಕ್ತಿಗಳಿಗಾಗಿ ನಿಮ್ಮ ಕಾರ್ಯ ಡೈರೆಕ್ಟರಿಯಲ್ಲಿ.

ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ ವ್ಯಕ್ತಿಗಳುintel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (12) intel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (13)

ಗಮನಿಸಿ:

  • blinking_led.sv ಭಾಗವಾಗಿ ಈಗಾಗಲೇ ಲಭ್ಯವಿದೆ fileನೀವು ಫ್ಲಾಟ್/ಉಪ-ಡೈರೆಕ್ಟರಿಯಿಂದ ನಕಲಿಸುತ್ತೀರಿ. ನೀವು ಇದನ್ನು ಸರಳವಾಗಿ ಮರುಬಳಕೆ ಮಾಡಬಹುದು file.
  • ನೀವು SystemVerilog ಅನ್ನು ರಚಿಸಿದರೆ fileಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಟೆಕ್ಸ್ಟ್ ಎಡಿಟರ್‌ನಿಂದ, ಆಡ್ ಅನ್ನು ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಿ file ಪ್ರಸ್ತುತ ಪ್ರಾಜೆಕ್ಟ್ ಆಯ್ಕೆಗೆ, ಉಳಿಸುವಾಗ files.

ಪರಿಷ್ಕರಣೆಗಳನ್ನು ರಚಿಸುವುದು

PR ವಿನ್ಯಾಸದ ಹರಿವು ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್‌ವೇರ್‌ನಲ್ಲಿ ಪ್ರಾಜೆಕ್ಟ್ ಪರಿಷ್ಕರಣೆ ವೈಶಿಷ್ಟ್ಯವನ್ನು ಬಳಸುತ್ತದೆ. ನಿಮ್ಮ ಆರಂಭಿಕ ವಿನ್ಯಾಸವು ಮೂಲ ಪರಿಷ್ಕರಣೆಯಾಗಿದೆ, ಅಲ್ಲಿ ನೀವು FPGA ನಲ್ಲಿ ಸ್ಥಿರ ಪ್ರದೇಶದ ಗಡಿಗಳು ಮತ್ತು ಮರುಸಂರಚಿಸುವ ಪ್ರದೇಶಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸುತ್ತೀರಿ. ಮೂಲ ಪರಿಷ್ಕರಣೆಯಿಂದ, ನೀವು ಬಹು ಪರಿಷ್ಕರಣೆಗಳನ್ನು ರಚಿಸುತ್ತೀರಿ. ಈ ಪರಿಷ್ಕರಣೆಗಳು PR ಪ್ರದೇಶಗಳಿಗೆ ವಿವಿಧ ಅನುಷ್ಠಾನಗಳನ್ನು ಒಳಗೊಂಡಿವೆ. ಆದಾಗ್ಯೂ, ಎಲ್ಲಾ PR ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಳು ಮೂಲ ಪರಿಷ್ಕರಣೆಯಿಂದ ಅದೇ ಉನ್ನತ ಮಟ್ಟದ ನಿಯೋಜನೆ ಮತ್ತು ರೂಟಿಂಗ್ ಫಲಿತಾಂಶಗಳನ್ನು ಬಳಸುತ್ತವೆ. PR ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು, ನೀವು ಪ್ರತಿ ವ್ಯಕ್ತಿಗೆ PR ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಯನ್ನು ರಚಿಸಬೇಕು. ಹೆಚ್ಚುವರಿಯಾಗಿ, ನೀವು ಪ್ರತಿ ಪರಿಷ್ಕರಣೆಗಳಿಗೆ ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರಗಳನ್ನು ನಿಯೋಜಿಸಬೇಕು. ಲಭ್ಯವಿರುವ ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರಗಳು:

  • ಭಾಗಶಃ ಪುನರ್ರಚನೆ - ಬೇಸ್
  • ಭಾಗಶಃ ಪುನರ್ವಿನ್ಯಾಸ - ವೈಯಕ್ತಿಕ ಅನುಷ್ಠಾನ

ಕೆಳಗಿನ ಕೋಷ್ಟಕವು ಪರಿಷ್ಕರಣೆ ಹೆಸರು ಮತ್ತು ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರವನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ:

ಪರಿಷ್ಕರಣೆ ಹೆಸರುಗಳು ಮತ್ತು ವಿಧಗಳು

ಪರಿಷ್ಕರಣೆ ಹೆಸರು ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರ
blinking_led.qsf ಭಾಗಶಃ ಪುನರ್ರಚನೆ - ಬೇಸ್
blinking_led_default.qsf ಭಾಗಶಃ ಪುನರ್ವಿನ್ಯಾಸ - ವೈಯಕ್ತಿಕ ಅನುಷ್ಠಾನ
blinking_led_slow.qsf ಭಾಗಶಃ ಪುನರ್ವಿನ್ಯಾಸ - ವೈಯಕ್ತಿಕ ಅನುಷ್ಠಾನ
blinking_led_empty.qsf ಭಾಗಶಃ ಪುನರ್ವಿನ್ಯಾಸ - ವೈಯಕ್ತಿಕ ಅನುಷ್ಠಾನ

ಮೂಲ ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರವನ್ನು ಹೊಂದಿಸಲಾಗುತ್ತಿದೆ

  1. ಪ್ರಾಜೆಕ್ಟ್ ➤ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ.
  2. ಪರಿಷ್ಕರಣೆ ಹೆಸರಿನಲ್ಲಿ, blinking_led ಪರಿಷ್ಕರಣೆ ಆಯ್ಕೆಮಾಡಿ, ತದನಂತರ ಪ್ರಸ್ತುತ ಹೊಂದಿಸು ಕ್ಲಿಕ್ ಮಾಡಿ.
  3. ಅನ್ವಯಿಸು ಕ್ಲಿಕ್ ಮಾಡಿ. blinking_led ಪರಿಷ್ಕರಣೆ ಪ್ರಸ್ತುತ ಪರಿಷ್ಕರಣೆಯಾಗಿ ಪ್ರದರ್ಶಿಸುತ್ತದೆ.
  4. Blinking_led ಗೆ ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರವನ್ನು ಹೊಂದಿಸಲು, ನಿಯೋಜನೆಗಳು ➤ ಸೆಟ್ಟಿಂಗ್‌ಗಳು ➤ ಸಾಮಾನ್ಯ ಕ್ಲಿಕ್ ಮಾಡಿ.
  5. ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರಕ್ಕಾಗಿ, ಭಾಗಶಃ ಮರುಸಂರಚನೆಯನ್ನು ಆಯ್ಕೆಮಾಡಿ - ಬೇಸ್, ತದನಂತರ ಸರಿ ಕ್ಲಿಕ್ ಮಾಡಿ.
  6. blinking_led.qsf ಈಗ ಈ ಕೆಳಗಿನ ನಿಯೋಜನೆಯನ್ನು ಹೊಂದಿದೆಯೇ ಎಂಬುದನ್ನು ಪರಿಶೀಲಿಸಿ: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE

ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ರಚಿಸುವುದು

  1. ಪರಿಷ್ಕರಣೆಗಳ ಸಂವಾದ ಪೆಟ್ಟಿಗೆಯನ್ನು ತೆರೆಯಲು, ಪ್ರಾಜೆಕ್ಟ್ ➤ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ.
  2. ಹೊಸ ಪರಿಷ್ಕರಣೆ ರಚಿಸಲು, < ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡಿ >.
  3. ಪರಿಷ್ಕರಣೆ ಹೆಸರಿನಲ್ಲಿ, blinking_led_default ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ ಮತ್ತು ಪರಿಷ್ಕರಣೆ ಆಧಾರದ ಮೇಲೆ blinking_led ಆಯ್ಕೆಮಾಡಿ.
  4. ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರಕ್ಕಾಗಿ, ಭಾಗಶಃ ಮರುಸಂರಚನೆಯನ್ನು ಆಯ್ಕೆಮಾಡಿ - ವೈಯಕ್ತಿಕ ಅನುಷ್ಠಾನ.

ಪರಿಷ್ಕರಣೆಗಳನ್ನು ರಚಿಸುವುದುintel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (14)

  1. ಅದೇ ರೀತಿ, blinking_led_slow ಮತ್ತು blinking_led_empty ಪರಿಷ್ಕರಣೆಗಳಿಗಾಗಿ ಪರಿಷ್ಕರಣೆ ಪ್ರಕಾರವನ್ನು ಹೊಂದಿಸಿ.
  2. ಪ್ರತಿ .qsf ಎಂದು ಪರಿಶೀಲಿಸಿ file ಈಗ ಈ ಕೆಳಗಿನ ನಿಯೋಜನೆಯನ್ನು ಹೊಂದಿದೆ: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led ಅಲ್ಲಿ, place_holder ಹೊಸದಾಗಿ ರಚಿಸಲಾದ PR ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಾಗಿ ಡೀಫಾಲ್ಟ್ ಅಸ್ತಿತ್ವದ ಹೆಸರು.

ಯೋಜನೆಯ ಪರಿಷ್ಕರಣೆಗಳುintel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (16)

ಮೂಲ ಪರಿಷ್ಕರಣೆ ಕಂಪೈಲ್ ಮಾಡಲಾಗುತ್ತಿದೆ

  1. ಮೂಲ ಪರಿಷ್ಕರಣೆಯನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು, ಸಂಸ್ಕರಣೆ ➤ ಸಂಕಲನವನ್ನು ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪರ್ಯಾಯವಾಗಿ, ಕೆಳಗಿನ ಆಜ್ಞೆಯು ಮೂಲ ಪರಿಷ್ಕರಣೆಯನ್ನು ಕಂಪೈಲ್ ಮಾಡುತ್ತದೆ: quartus_sh –flow ಕಂಪೈಲ್ blinking_led -c blinking_led
  2. ಬಿಟ್‌ಸ್ಟ್ರೀಮ್ ಅನ್ನು ಪರೀಕ್ಷಿಸಿ fileಔಟ್‌ಪುಟ್‌ನಲ್ಲಿ ಉತ್ಪಾದಿಸುವ ಗಳು_fileಗಳ ಡೈರೆಕ್ಟರಿ.

ರಚಿಸಲಾಗಿದೆ Files

ಹೆಸರು ಟೈಪ್ ಮಾಡಿ ವಿವರಣೆ
blinking_led.sof ಬೇಸ್ ಪ್ರೋಗ್ರಾಮಿಂಗ್ file ಪೂರ್ಣ-ಚಿಪ್ ಬೇಸ್ ಕಾನ್ಫಿಗರೇಶನ್‌ಗಾಗಿ ಬಳಸಲಾಗುತ್ತದೆ
blinking_led.pr_partition.rbf PR ಬಿಟ್‌ಸ್ಟ್ರೀಮ್ file ಮೂಲ ವ್ಯಕ್ತಿತ್ವಕ್ಕಾಗಿ ಮೂಲ ವ್ಯಕ್ತಿತ್ವದ ಭಾಗಶಃ ಪುನರ್ರಚನೆಗಾಗಿ ಬಳಸಲಾಗುತ್ತದೆ.
blinking_led_static.qdb .qdb ಡೇಟಾಬೇಸ್ file ಅಂತಿಮಗೊಳಿಸಿದ ಡೇಟಾಬೇಸ್ file ಸ್ಥಿರ ಪ್ರದೇಶವನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಲು ಬಳಸಲಾಗುತ್ತದೆ.

ಸಂಬಂಧಿತ ಮಾಹಿತಿ

  • ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಯಲ್ಲಿ "ಭಾಗಶಃ ಮರುಸಂರಚನಾ ವಿನ್ಯಾಸದ ಮಹಡಿಯನ್ನು ಯೋಜಿಸಿ": ಭಾಗಶಃ ಮರುಸಂರಚನೆ
  • ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಯಲ್ಲಿ "ಫ್ಲೋರ್‌ಪ್ಲಾನ್ ನಿರ್ಬಂಧಗಳನ್ನು ಹೆಚ್ಚಿಸುವುದು": ಭಾಗಶಃ ಮರುಸಂರಚನೆ

PR ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ಸಿದ್ಧಪಡಿಸಲಾಗುತ್ತಿದೆ
ನೀವು ಸಾಧನ ಪ್ರೋಗ್ರಾಮಿಂಗ್‌ಗಾಗಿ PR ಬಿಟ್‌ಸ್ಟ್ರೀಮ್ ಅನ್ನು ಕಂಪೈಲ್ ಮಾಡುವ ಮೊದಲು ಮತ್ತು ರಚಿಸುವ ಮೊದಲು ನೀವು PR ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ಸಿದ್ಧಪಡಿಸಬೇಕು. ಈ ಸೆಟಪ್ ಸ್ಥಿರ ಪ್ರದೇಶ .qdb ಅನ್ನು ಸೇರಿಸುವುದನ್ನು ಒಳಗೊಂಡಿರುತ್ತದೆ file ಮೂಲವಾಗಿ file ಪ್ರತಿ ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಾಗಿ. ಹೆಚ್ಚುವರಿಯಾಗಿ, ನೀವು PR ಪ್ರದೇಶದ ಅನುಗುಣವಾದ ಘಟಕವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕು.

  1. ಪ್ರಸ್ತುತ ಪರಿಷ್ಕರಣೆ ಹೊಂದಿಸಲು, ಪ್ರಾಜೆಕ್ಟ್ ➤ ಪರಿಷ್ಕರಣೆಗಳನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ, ಪರಿಷ್ಕರಣೆ ಹೆಸರಾಗಿ blinking_led_default ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಿ, ತದನಂತರ ಪ್ರಸ್ತುತ ಹೊಂದಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ.
  2. ಪ್ರತಿ ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗಾಗಿ ಸರಿಯಾದ ಮೂಲವನ್ನು ಪರಿಶೀಲಿಸಲು, ಪ್ರಾಜೆಕ್ಟ್ ➤ಸೇರಿಸು/ತೆಗೆದುಹಾಕು ಕ್ಲಿಕ್ ಮಾಡಿ Fileಯೋಜನೆಯಲ್ಲಿ ರು. ಮಿಟುಕಿಸುವುದು_led.sv file ನಲ್ಲಿ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ file ಪಟ್ಟಿ.

FilesPageintel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (17)

  1. ಇತರ ಅನುಷ್ಠಾನ ಪರಿಷ್ಕರಣೆ ಮೂಲವನ್ನು ಪರಿಶೀಲಿಸಲು 1 ರಿಂದ 2 ಹಂತಗಳನ್ನು ಪುನರಾವರ್ತಿಸಿ files:
ಅನುಷ್ಠಾನ ಪರಿಷ್ಕರಣೆ ಹೆಸರು ಮೂಲ File
blinking_led_default blinking_led.sv
ಮಿಟುಕಿಸುವ_ಲೆಡ್_ಖಾಲಿ blinking_led_empty.sv
ಮಿಟುಕಿಸುವುದು_ ನಿಧಾನ blinking_led_slow.sv
  1. .qdb ಅನ್ನು ಪರಿಶೀಲಿಸಲು file ಮೂಲ ವಿಭಜನೆಯೊಂದಿಗೆ ಸಂಯೋಜಿತವಾಗಿದೆ, ನಿಯೋಜನೆಗಳು ➤ ವಿನ್ಯಾಸ ವಿಭಾಗಗಳ ವಿಂಡೋ ಕ್ಲಿಕ್ ಮಾಡಿ. ವಿಭಜನಾ ಡೇಟಾಬೇಸ್ ಎಂದು ದೃಢೀಕರಿಸಿ File blinking_led_static.qdb ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ file, ಅಥವಾ ವಿಭಜನಾ ಡೇಟಾಬೇಸ್ ಅನ್ನು ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡಿ File ಇದನ್ನು ಸೂಚಿಸಲು ಕೋಶ file. ಪರ್ಯಾಯವಾಗಿ, ಕೆಳಗಿನ ಆಜ್ಞೆಯು ಇದನ್ನು ನಿಯೋಜಿಸುತ್ತದೆ file: set_instance_assignment -ಹೆಸರು QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
  2. ಎಂಟಿಟಿ ರೀ-ಬೈಂಡಿಂಗ್ ಸೆಲ್‌ನಲ್ಲಿ, ಅನುಷ್ಠಾನ ಪರಿಷ್ಕರಣೆಯಲ್ಲಿ ನೀವು ಬದಲಾಯಿಸುವ ಪ್ರತಿ PR ವಿಭಾಗದ ಅಸ್ತಿತ್ವದ ಹೆಸರನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ. blinking_led_default ಅನುಷ್ಠಾನ ಪರಿಷ್ಕರಣೆಗಾಗಿ, ಘಟಕದ ಹೆಸರು blinking_led ಆಗಿದೆ. ಈ ಟ್ಯುಟೋರಿಯಲ್ ನಲ್ಲಿ, ನೀವು ಹೊಸ blinking_led ಘಟಕದೊಂದಿಗೆ ಬೇಸ್ ರಿವಿಷನ್ ಕಂಪೈಲ್‌ನಿಂದ u_blinking_led ನಿದರ್ಶನವನ್ನು ತಿದ್ದಿ ಬರೆಯುತ್ತೀರಿ.

ಗಮನಿಸಿ: ಪ್ಲೇಸ್‌ಹೋಲ್ಡರ್ ಎಂಟಿಟಿ ರಿಬೈಂಡಿಂಗ್ ಅಸೈನ್‌ಮೆಂಟ್ ಅನ್ನು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಅನುಷ್ಠಾನದ ಪರಿಷ್ಕರಣೆಗೆ ಸೇರಿಸಲಾಗುತ್ತದೆ. ಆದಾಗ್ಯೂ, ನಿಯೋಜನೆಯಲ್ಲಿನ ಡೀಫಾಲ್ಟ್ ಅಸ್ತಿತ್ವದ ಹೆಸರನ್ನು ನಿಮ್ಮ ವಿನ್ಯಾಸಕ್ಕೆ ಸೂಕ್ತವಾದ ಅಸ್ತಿತ್ವದ ಹೆಸರಿಗೆ ನೀವು ಬದಲಾಯಿಸಬೇಕು.

ಅನುಷ್ಠಾನ ಪರಿಷ್ಕರಣೆ ಹೆಸರು ಎಂಟಿಟಿ ರೀ-ಬೈಂಡಿಂಗ್
blinking_led_default ಮಿಟುಕಿಸುವುದು_ನೇತೃತ್ವ
ಮಿಟುಕಿಸುವುದು_ ನಿಧಾನ ಮಿಟುಕಿಸುವುದು_ ನಿಧಾನ
ಮಿಟುಕಿಸುವ_ಲೆಡ್_ಖಾಲಿ ಮಿಟುಕಿಸುವ_ಲೆಡ್_ಖಾಲಿ

ಎಂಟಿಟಿ ರಿಬೈಂಡಿಂಗ್intel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (18)

  1. ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು, ಸಂಸ್ಕರಣೆ ➤ ಸಂಕಲನವನ್ನು ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪರ್ಯಾಯವಾಗಿ, ಈ ಕೆಳಗಿನ ಆಜ್ಞೆಯು ಈ ಯೋಜನೆಯನ್ನು ಕಂಪೈಲ್ ಮಾಡುತ್ತದೆ: quartus_sh –flow ಕಂಪೈಲ್ blinking_led –c blinking_led_default
  2. blinking_led_slow ಮತ್ತು blinking_led_empty ಪರಿಷ್ಕರಣೆಗಳನ್ನು ತಯಾರಿಸಲು ಮೇಲಿನ ಹಂತಗಳನ್ನು ಪುನರಾವರ್ತಿಸಿ: quartus_sh –flow ಕಂಪೈಲ್ blinking_led –c blinking_led_slow quartus_sh –flow ಕಂಪೈಲ್ blinking_led –c blinking_led_empt

ಗಮನಿಸಿ: PR ಅನುಷ್ಠಾನದ ಸಂಕಲನದ ಸಮಯದಲ್ಲಿ ನೀವು ಅನ್ವಯಿಸಲು ಬಯಸುವ ಯಾವುದೇ ಫಿಟ್ಟರ್ ನಿರ್ದಿಷ್ಟ ಸೆಟ್ಟಿಂಗ್‌ಗಳನ್ನು ನೀವು ನಿರ್ದಿಷ್ಟಪಡಿಸಬಹುದು. ಫಿಟ್ಟರ್ ನಿರ್ದಿಷ್ಟ ಸೆಟ್ಟಿಂಗ್‌ಗಳು ಆಮದು ಮಾಡಿದ ಸ್ಥಿರ ಪ್ರದೇಶದ ಮೇಲೆ ಪರಿಣಾಮ ಬೀರದೆ, ವ್ಯಕ್ತಿತ್ವದ ಫಿಟ್ ಅನ್ನು ಮಾತ್ರ ಪರಿಣಾಮ ಬೀರುತ್ತವೆ.

ಬೋರ್ಡ್ ಅನ್ನು ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಮಾಡುವುದು
ಈ ಟ್ಯುಟೋರಿಯಲ್ ನಿಮ್ಮ ಹೋಸ್ಟ್ ಯಂತ್ರದಲ್ಲಿ PCIe* ಸ್ಲಾಟ್‌ನ ಹೊರಗೆ ಬೆಂಚ್‌ನಲ್ಲಿ Intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್ ಅನ್ನು ಬಳಸುತ್ತದೆ. ನೀವು ಬೋರ್ಡ್ ಅನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡುವ ಮೊದಲು, ನೀವು ಈ ಕೆಳಗಿನ ಹಂತಗಳನ್ನು ಪೂರ್ಣಗೊಳಿಸಿದ್ದೀರಿ ಎಂದು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿ:

  1. Intel Agilex F-Series FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿಗೆ ವಿದ್ಯುತ್ ಸರಬರಾಜನ್ನು ಸಂಪರ್ಕಿಸಿ.
  2. ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್‌ನಲ್ಲಿ ನಿಮ್ಮ PC USB ಪೋರ್ಟ್ ಮತ್ತು Intel FPGA ಡೌನ್‌ಲೋಡ್ ಕೇಬಲ್ ಪೋರ್ಟ್ ನಡುವೆ Intel FPGA ಡೌನ್‌ಲೋಡ್ ಕೇಬಲ್ ಅನ್ನು ಸಂಪರ್ಕಿಸಿ.

Intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್‌ನಲ್ಲಿ ವಿನ್ಯಾಸವನ್ನು ಚಲಾಯಿಸಲು:

  1. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್‌ವೇರ್ ತೆರೆಯಿರಿ ಮತ್ತು ಪರಿಕರಗಳು ➤ ಪ್ರೋಗ್ರಾಮರ್ ಕ್ಲಿಕ್ ಮಾಡಿ.
  2. ಪ್ರೋಗ್ರಾಮರ್‌ನಲ್ಲಿ, ಹಾರ್ಡ್‌ವೇರ್ ಸೆಟಪ್ ಅನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ ಮತ್ತು ಯುಎಸ್‌ಬಿ-ಬ್ಲಾಸ್ಟರ್ ಆಯ್ಕೆಮಾಡಿ.
  3. ಸ್ವಯಂ ಪತ್ತೆ ಕ್ಲಿಕ್ ಮಾಡಿ ಮತ್ತು ಸಾಧನವನ್ನು ಆಯ್ಕೆ ಮಾಡಿ, AGFB014R24AR0.
  4. ಸರಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್‌ವೇರ್ ಬೋರ್ಡ್‌ನಲ್ಲಿರುವ ಮೂರು FPGA ಸಾಧನಗಳೊಂದಿಗೆ ಪ್ರೋಗ್ರಾಮರ್ ಅನ್ನು ಪತ್ತೆ ಮಾಡುತ್ತದೆ ಮತ್ತು ನವೀಕರಿಸುತ್ತದೆ.
  5. AGFB014R24AR0 ಸಾಧನವನ್ನು ಆಯ್ಕೆಮಾಡಿ, ಬದಲಿಸು ಕ್ಲಿಕ್ ಮಾಡಿ File ಮತ್ತು blinking_led_default.sof ಅನ್ನು ಲೋಡ್ ಮಾಡಿ file.
  6. blinking_led_default.sof ಗಾಗಿ ಪ್ರೋಗ್ರಾಂ/ಕಾನ್ಫಿಗರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ file.
  7. ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ ಮತ್ತು ಪ್ರಗತಿ ಬಾರ್ 100% ತಲುಪಲು ನಿರೀಕ್ಷಿಸಿ.
  8. ಮೂಲ ಫ್ಲಾಟ್ ವಿನ್ಯಾಸದ ಅದೇ ಆವರ್ತನದಲ್ಲಿ ಬೋರ್ಡ್‌ನಲ್ಲಿ ಎಲ್ಇಡಿಗಳು ಮಿಟುಕಿಸುವುದನ್ನು ಗಮನಿಸಿ.
  9. PR ಪ್ರದೇಶವನ್ನು ಮಾತ್ರ ಪ್ರೋಗ್ರಾಂ ಮಾಡಲು, blinking_led_default.sof ಮೇಲೆ ಬಲ ಕ್ಲಿಕ್ ಮಾಡಿ file ಪ್ರೋಗ್ರಾಮರ್‌ನಲ್ಲಿ ಮತ್ತು PR ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಅನ್ನು ಸೇರಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ File.
  10. blinking_led_slow.pr_partition.rbf ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಿ file.
  11. blinking_led_default.sof ಗಾಗಿ ಪ್ರೋಗ್ರಾಂ/ಕಾನ್ಫಿಗರ್ ಅನ್ನು ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಿ file.
  12. Blinking_led_slow.pr_partition.rbf ಗಾಗಿ ಪ್ರೋಗ್ರಾಂ/ಕಾನ್ಫಿಗರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ file ಮತ್ತು ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಬೋರ್ಡ್‌ನಲ್ಲಿ ಎಲ್‌ಇಡಿ[0] ಮತ್ತು ಎಲ್‌ಇಡಿ[1] ಮಿಟುಕಿಸುವುದನ್ನು ಗಮನಿಸಿ. ಪ್ರಗತಿ ಪಟ್ಟಿಯು 100% ತಲುಪಿದಾಗ, LED[2] ಮತ್ತು LED[3] ನಿಧಾನವಾಗಿ ಮಿನುಗುತ್ತದೆ.
  13. PR ಪ್ರದೇಶವನ್ನು ರಿಪ್ರೊಗ್ರಾಮ್ ಮಾಡಲು, .rbf ಮೇಲೆ ಬಲ ಕ್ಲಿಕ್ ಮಾಡಿ file ಪ್ರೋಗ್ರಾಮರ್‌ನಲ್ಲಿ ಮತ್ತು PR ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಬದಲಾಯಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ File.
  14. .rbf ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ fileಮಂಡಳಿಯಲ್ಲಿನ ನಡವಳಿಕೆಯನ್ನು ವೀಕ್ಷಿಸಲು ಇತರ ಇಬ್ಬರು ವ್ಯಕ್ತಿಗಳಿಗೆ ರು. blinking_led_default.rbf ಅನ್ನು ಲೋಡ್ ಮಾಡಲಾಗುತ್ತಿದೆ file ನಿರ್ದಿಷ್ಟ ಆವರ್ತನದಲ್ಲಿ LED ಗಳು ಮಿಟುಕಿಸುವಂತೆ ಮಾಡುತ್ತದೆ ಮತ್ತು blinking_led_empty.rbf ಅನ್ನು ಲೋಡ್ ಮಾಡುತ್ತದೆ file ಎಲ್ಇಡಿಗಳು ಆನ್ ಆಗುವಂತೆ ಮಾಡುತ್ತದೆ.

Intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್ ಅನ್ನು ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಮಾಡಲಾಗುತ್ತಿದೆintel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (19)ಹಾರ್ಡ್‌ವೇರ್ ಪರೀಕ್ಷೆಯ ಹರಿವು

ಕೆಳಗಿನ ಅನುಕ್ರಮಗಳು ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ ಯಂತ್ರಾಂಶ ಪರೀಕ್ಷೆಯ ಹರಿವನ್ನು ವಿವರಿಸುತ್ತದೆ.
ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಸಾಧನ ಬಾಹ್ಯ ಹೋಸ್ಟ್ ಹಾರ್ಡ್‌ವೇರ್ ಸೆಟಪ್intel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (20)

ಸಹಾಯಕ FPGA (ಬಾಹ್ಯ ಹೋಸ್ಟ್) ಅನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಿ
ಕೆಳಗಿನ ಅನುಕ್ರಮವು PR ಪ್ರಕ್ರಿಯೆಯ ಬಾಹ್ಯ ಹೋಸ್ಟ್ ಆಗಿ ಕಾರ್ಯನಿರ್ವಹಿಸುವ ಸಹಾಯಕ FPGA ಅನ್ನು ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಅನ್ನು ವಿವರಿಸುತ್ತದೆ:

  1. ನೀವು ಆಯ್ಕೆ ಮಾಡುವ ಮೋಡ್‌ಗೆ (x8, x16, ಅಥವಾ x32) ಅನುರೂಪವಾಗಿರುವ Avalon ಸ್ಟ್ರೀಮಿಂಗ್ ಇಂಟರ್ಫೇಸ್ ಸೆಟ್ಟಿಂಗ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
  2. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೋಗ್ರಾಮರ್ ಮತ್ತು ಸಂಪರ್ಕಿತ ಕಾನ್ಫಿಗರೇಶನ್ ಕೇಬಲ್ ಅನ್ನು ಬಳಸಿಕೊಂಡು ಸಹಾಯಕ FPGA ಅನ್ನು ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಮಾಡುವ ಮೂಲಕ ಪ್ಲಾಟ್‌ಫಾರ್ಮ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸಿ.
  3. ಸಹಾಯಕ FPGA ಅನ್ನು ಬಳಸಿಕೊಂಡು, CONF_DONE ಮತ್ತು AVST_READY ಸಂಕೇತಗಳನ್ನು ಓದಿ. CONF_DONE 0 ಆಗಿರಬೇಕು, AVST_READY 1 ಆಗಿರಬೇಕು. ಈ ಪಿನ್‌ನಲ್ಲಿ ಹೆಚ್ಚಿನ ಲಾಜಿಕ್ ಬಾಹ್ಯ ಹೋಸ್ಟ್‌ನಿಂದ ಡೇಟಾವನ್ನು ಸ್ವೀಕರಿಸಲು SDM ಸಿದ್ಧವಾಗಿದೆ ಎಂದು ಸೂಚಿಸುತ್ತದೆ. ಈ ಔಟ್‌ಪುಟ್ SDM I/O ನ ಭಾಗವಾಗಿದೆ.

ಗಮನಿಸಿ: ಬಿಟ್‌ಸ್ಟ್ರೀಮ್ ವರ್ಗಾವಣೆ ಯಶಸ್ವಿಯಾಗಿದೆ ಎಂದು CONF_DONE ಪಿನ್ ಬಾಹ್ಯ ಹೋಸ್ಟ್‌ಗೆ ಸಂಕೇತಿಸುತ್ತದೆ. ಪೂರ್ಣ ಚಿಪ್ ಕಾನ್ಫಿಗರೇಶನ್ ಪ್ರಕ್ರಿಯೆಯನ್ನು ಮೇಲ್ವಿಚಾರಣೆ ಮಾಡಲು ಮಾತ್ರ ಈ ಸಂಕೇತಗಳನ್ನು ಬಳಸಿ. ಈ ಪಿನ್ ಕುರಿತು ಹೆಚ್ಚಿನ ಮಾಹಿತಿಗಾಗಿ Intel Agilex ಕಾನ್ಫಿಗರೇಶನ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಯನ್ನು ನೋಡಿ.

ಬಾಹ್ಯ ಹೋಸ್ಟ್ ಮೂಲಕ ಪೂರ್ಣ ಚಿಪ್ SOF ನೊಂದಿಗೆ DUT FPGA ಅನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಿ DUT FPGA ಅನ್ನು ಪೂರ್ಣ ಚಿಪ್ SRAM ಆಬ್ಜೆಕ್ಟ್‌ನೊಂದಿಗೆ ಪ್ರೋಗ್ರಾಮ್ ಮಾಡುವುದನ್ನು ಈ ಕೆಳಗಿನ ಅನುಕ್ರಮವು ವಿವರಿಸುತ್ತದೆ File (.sof) ಆತಿಥೇಯ Avalon ಸ್ಟ್ರೀಮಿಂಗ್ ಇಂಟರ್ಫೇಸ್ ಬಳಸಿ:

  1. ಸಹಾಯಕ FPGA (ಬಾಹ್ಯ ಹೋಸ್ಟ್) ನ DDR4 ಬಾಹ್ಯ ಮೆಮೊರಿಗೆ ಪೂರ್ಣ ಚಿಪ್ ಬಿಟ್‌ಸ್ಟ್ರೀಮ್ ಅನ್ನು ಬರೆಯಿರಿ.
  2. Avalon ಸ್ಟ್ರೀಮಿಂಗ್ ಇಂಟರ್ಫೇಸ್ (x8, x16, x32) ಬಳಸಿಕೊಂಡು ಪೂರ್ಣ ಚಿಪ್ .sof ನೊಂದಿಗೆ DUT FPGA ಅನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಿ.
  3. ಸ್ಥಿತಿ DUT FPGA ಕಾನ್ಫಿಗರೇಶನ್ ಸಿಗ್ನಲ್‌ಗಳನ್ನು ಓದಿ. CONF_DONE 1 ಆಗಿರಬೇಕು, AVST_READY 0 ಆಗಿರಬೇಕು.

ಸಮಯದ ವಿಶೇಷಣಗಳು: ಭಾಗಶಃ ಪುನರ್ರಚನೆ ಬಾಹ್ಯ ನಿಯಂತ್ರಕ ಇಂಟೆಲ್ FPGA IPintel-750856-Agilex-FPGA-ಅಭಿವೃದ್ಧಿ-ಬೋರ್ಡ್-FIG-1 (21)

ಬಾಹ್ಯ ಹೋಸ್ಟ್ ಮೂಲಕ ಮೊದಲ ವ್ಯಕ್ತಿಯೊಂದಿಗೆ DUT FPGA ಅನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಿ

  1. DUT FPGA ನಲ್ಲಿ ಗುರಿ PR ಪ್ರದೇಶದಲ್ಲಿ ಫ್ರೀಜ್ ಅನ್ನು ಅನ್ವಯಿಸಿ.
  2. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಬಳಸಿಕೊಂಡು, ಭಾಗಶಃ ಮರುಸಂರಚನೆಯನ್ನು ಪ್ರಾರಂಭಿಸಲು pr_request ಅನ್ನು ಪ್ರತಿಪಾದಿಸಿ. AVST_READY 1 ಆಗಿರಬೇಕು.
  3. ಸಹಾಯಕ FPGA (ಬಾಹ್ಯ ಹೋಸ್ಟ್) ನ DDR4 ಬಾಹ್ಯ ಮೆಮೊರಿಗೆ ಮೊದಲ PR ವ್ಯಕ್ತಿತ್ವ ಬಿಟ್‌ಸ್ಟ್ರೀಮ್ ಅನ್ನು ಬರೆಯಿರಿ.
  4. Avalon ಸ್ಟ್ರೀಮಿಂಗ್ ಇಂಟರ್ಫೇಸ್ (x8, x16, x32) ಬಳಸಿ, DUT FPGA ಅನ್ನು ಮೊದಲ ಪರ್ಸನಾ ಬಿಟ್‌ಸ್ಟ್ರೀಮ್‌ನೊಂದಿಗೆ ಮರುಸಂರಚಿಸಿ.
  5. PR ಸ್ಥಿತಿಯನ್ನು ಮೇಲ್ವಿಚಾರಣೆ ಮಾಡಲು, ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸಲು ಪರಿಕರಗಳು ➤ ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ. ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್‌ನಲ್ಲಿ, PR ಸ್ಥಿತಿಯನ್ನು ಮೇಲ್ವಿಚಾರಣೆ ಮಾಡಿ:
    • pr_error 2-ಮರುಸಂರಚನೆ ಪ್ರಕ್ರಿಯೆಯಲ್ಲಿದೆ.
    • pr_error 3-ಮರುಸಂರಚನೆ ಪೂರ್ಣಗೊಂಡಿದೆ.
  6. DUT FPGA ನಲ್ಲಿ PR ಪ್ರದೇಶದಲ್ಲಿ ಅನ್‌ಫ್ರೀಜ್ ಅನ್ನು ಅನ್ವಯಿಸಿ.

ಗಮನಿಸಿ: PR ಕಾರ್ಯಾಚರಣೆಯ ಸಮಯದಲ್ಲಿ ದೋಷ ಸಂಭವಿಸಿದಲ್ಲಿ, ಆವೃತ್ತಿ ಪರಿಶೀಲನೆ ಅಥವಾ ದೃಢೀಕರಣ ಪರಿಶೀಲನೆಯಲ್ಲಿ ವಿಫಲವಾದರೆ, PR ಕಾರ್ಯಾಚರಣೆಯು ಕೊನೆಗೊಳ್ಳುತ್ತದೆ.

ಸಂಬಂಧಿತ ಮಾಹಿತಿ

  • ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಕಾನ್ಫಿಗರೇಶನ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
  • ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ: ಡೀಬಗ್ ಪರಿಕರಗಳು

AN 991 ಗಾಗಿ ಡಾಕ್ಯುಮೆಂಟ್ ಪರಿಷ್ಕರಣೆ ಇತಿಹಾಸ: ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಎಫ್-ಸಿರೀಸ್ ಎಫ್‌ಪಿಜಿಎ ಡೆವಲಪ್‌ಮೆಂಟ್ ಬೋರ್ಡ್‌ಗಾಗಿ ಕಾನ್ಫಿಗರೇಶನ್ ಪಿನ್‌ಗಳ (ಬಾಹ್ಯ ಹೋಸ್ಟ್) ಉಲ್ಲೇಖ ವಿನ್ಯಾಸದ ಮೂಲಕ ಭಾಗಶಃ ಮರುಸಂರಚನೆ

ಡಾಕ್ಯುಮೆಂಟ್ ಆವೃತ್ತಿ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಆವೃತ್ತಿ ಬದಲಾವಣೆಗಳು
2022.11.14 22.3 • ಆರಂಭಿಕ ಬಿಡುಗಡೆ.

AN 991: ಕಾನ್ಫಿಗರೇಶನ್ ಪಿನ್‌ಗಳ ಮೂಲಕ ಭಾಗಶಃ ಮರುಸಂರಚನೆ (ಬಾಹ್ಯ ಹೋಸ್ಟ್) ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ: ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಎಫ್-ಸರಣಿ FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿಗೆ

ಉನ್ನತ FAQ ಗಳಿಗೆ ಉತ್ತರಗಳು:

  • Q ಕಾನ್ಫಿಗರೇಶನ್ ಪಿನ್‌ಗಳ ಮೂಲಕ PR ಎಂದರೇನು?
  • A ಪುಟ 3 ರಲ್ಲಿ ಬಾಹ್ಯ ಹೋಸ್ಟ್ ಕಾನ್ಫಿಗರೇಶನ್
  • Q ಈ ಉಲ್ಲೇಖ ವಿನ್ಯಾಸಕ್ಕಾಗಿ ನನಗೆ ಏನು ಬೇಕು?
  • A ಪುಟ 6 ರಲ್ಲಿ ಉಲ್ಲೇಖ ವಿನ್ಯಾಸದ ಅವಶ್ಯಕತೆಗಳು
  • Q ನಾನು ಉಲ್ಲೇಖ ವಿನ್ಯಾಸವನ್ನು ಎಲ್ಲಿ ಪಡೆಯಬಹುದು?
  • A ಪುಟ 6 ರಲ್ಲಿ ಉಲ್ಲೇಖ ವಿನ್ಯಾಸದ ಅವಶ್ಯಕತೆಗಳು
  • Q ಬಾಹ್ಯ ಕಾನ್ಫಿಗರೇಶನ್ ಮೂಲಕ ನಾನು PR ಅನ್ನು ಹೇಗೆ ನಿರ್ವಹಿಸುವುದು?
  • A ಪುಟ 6 ರಲ್ಲಿ ಉಲ್ಲೇಖ ವಿನ್ಯಾಸ ದರ್ಶನ
  • Q PR ವ್ಯಕ್ತಿತ್ವ ಎಂದರೇನು?
  • A ಪುಟ 11 ರಲ್ಲಿ ವ್ಯಕ್ತಿಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸುವುದು
  • Q ನಾನು ಬೋರ್ಡ್ ಅನ್ನು ಹೇಗೆ ಪ್ರೋಗ್ರಾಂ ಮಾಡುವುದು?
  • A ಬೋರ್ಡ್ ಅನ್ನು ಪುಟ 17 ರಲ್ಲಿ ಪ್ರೋಗ್ರಾಂ ಮಾಡಿ
  • Q PR ತಿಳಿದಿರುವ ಸಮಸ್ಯೆಗಳು ಮತ್ತು ಮಿತಿಗಳು ಯಾವುವು?
  • A ಇಂಟೆಲ್ FPGA ಬೆಂಬಲ ವೇದಿಕೆಗಳು: PR
  • Q ನೀವು PR ಕುರಿತು ತರಬೇತಿ ಹೊಂದಿದ್ದೀರಾ?
  • A ಇಂಟೆಲ್ FPGA ತಾಂತ್ರಿಕ ತರಬೇತಿ ಕ್ಯಾಟಲಾಗ್

ಆನ್‌ಲೈನ್ ಆವೃತ್ತಿ ಪ್ರತಿಕ್ರಿಯೆ ಕಳುಹಿಸಿ

  • ID: 750856
  • ಆವೃತ್ತಿ: 2022.11.14

ದಾಖಲೆಗಳು / ಸಂಪನ್ಮೂಲಗಳು

intel 750856 Agilex FPGA ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ [ಪಿಡಿಎಫ್] ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
750856, 750857, 750856 ಅಜಿಲೆಕ್ಸ್ ಎಫ್‌ಪಿಜಿಎ ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ, ಅಜಿಲೆಕ್ಸ್ ಎಫ್‌ಪಿಜಿಎ ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ, ಎಫ್‌ಪಿಜಿಎ ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ, ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿ, ಮಂಡಳಿ

ಉಲ್ಲೇಖಗಳು

ಕಾಮೆಂಟ್ ಬಿಡಿ

ನಿಮ್ಮ ಇಮೇಲ್ ವಿಳಾಸವನ್ನು ಪ್ರಕಟಿಸಲಾಗುವುದಿಲ್ಲ. ಅಗತ್ಯವಿರುವ ಕ್ಷೇತ್ರಗಳನ್ನು ಗುರುತಿಸಲಾಗಿದೆ *