ઇન્ટેલ-લોગો

ઇન્ટેલ 750856 એજીલેક્સ એફપીજીએ ડેવલપમેન્ટ બોર્ડ

intel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-ઉત્પાદન

ઉત્પાદન માહિતી

આ સંદર્ભ ડિઝાઇન Intel Agilex F-Series FPGA ડેવલપમેન્ટ બોર્ડ માટે છે. તે આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IP નો ઉપયોગ કરે છે અને તે એક સરળ PR પ્રદેશ ધરાવે છે. Intel Agilex ઉપકરણ બાહ્ય હોસ્ટ હાર્ડવેર સેટઅપમાં બાહ્ય ઉપકરણ (સહાયક FPGA), DUT FPGA અને તમારી બાહ્ય હોસ્ટ ડિઝાઇનનો સમાવેશ થાય છે. બાહ્ય ઉપકરણમાં હોસ્ટ ડિઝાઇન PR પ્રક્રિયાને હોસ્ટ કરવા માટે જવાબદાર છે. PR પિનનો ઉપયોગ બંને ઉપકરણોને કનેક્ટ કરવા માટે થાય છે અને તે કોઈપણ ઉપલબ્ધ વપરાશકર્તા I/Os હોઈ શકે છે.

ઉત્પાદન વપરાશ સૂચનાઓ

બાહ્ય યજમાન રૂપરેખાંકન

બાહ્ય હોસ્ટ રૂપરેખાંકન કરવા માટે, આ પગલાં અનુસરો:

  1. PR પ્રક્રિયાને હોસ્ટ કરવા માટે બાહ્ય ઉપકરણમાં હોસ્ટ ડિઝાઇન બનાવો.
  2. બાહ્ય ઉપકરણમાંથી PR પિનને DUT FPGA માં આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IP સાથે કનેક્ટ કરો.
  3. હોસ્ટ ડિઝાઇનથી Intel Agilex Avalon સ્ટ્રીમિંગ ઇન્ટરફેસ પિન પર સ્ટ્રીમ કન્ફિગરેશન ડેટા કે જે IP થી PR હેન્ડશેકિંગ સિગ્નલોને અનુરૂપ છે.

રૂપરેખાંકન પિન ઓપરેશન દ્વારા આંશિક પુનઃરૂપરેખાંકન

નીચેનો ક્રમ રૂપરેખાંકન પિન દ્વારા આંશિક પુનઃરૂપરેખાંકનની કામગીરીનું વર્ણન કરે છે:

  1. આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IP સાથે જોડાયેલ pr_request પિનનો દાવો કરો.
  2. IP એ PR પ્રક્રિયા ચાલુ છે (વૈકલ્પિક) દર્શાવવા માટે વ્યસ્ત સંકેતનો દાવો કરે છે.
  3. જો રૂપરેખાંકન સિસ્ટમ PR ઑપરેશન માટે તૈયાર હોય, તો avst_ready પિન પર ભાર મૂકવામાં આવે છે, જે દર્શાવે છે કે તે ડેટા સ્વીકારવા માટે તૈયાર છે.
  4. બેકપ્રેશર સાથે ડેટા ટ્રાન્સફર માટે એવલોન સ્ટ્રીમિંગ સ્પષ્ટીકરણને અનુસરીને, avst_data પિન અને avst_valid પિન પર PR રૂપરેખાંકન ડેટાને સ્ટ્રીમ કરો.
  5. જ્યારે avst_ready પિન ડી-એસર્ટ કરવામાં આવે ત્યારે સ્ટ્રીમિંગ બંધ થાય છે.
  6. PR ઓપરેશન માટે વધુ ડેટાની જરૂર નથી તે દર્શાવવા માટે avst_ready પિનને ડી-એસર્ટ કરો.
  7. આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IP પ્રક્રિયાના અંત (વૈકલ્પિક) દર્શાવવા માટે વ્યસ્ત સિગ્નલને ડી-એસર્ટ કરે છે.

રૂપરેખાંકન પિન (બાહ્ય યજમાન) સંદર્ભ ડિઝાઇન દ્વારા આંશિક પુનઃરૂપરેખાંકન

આ એપ્લિકેશન નોંધ Intel® Agilex® F-Series FPGA ડેવલપમેન્ટ બોર્ડ પર રૂપરેખાંકન પિન (બાહ્ય હોસ્ટ) દ્વારા આંશિક પુનઃરૂપરેખાંકન દર્શાવે છે.

સંદર્ભ ડિઝાઇન ઓવરview

આંશિક પુનઃરૂપરેખાંકન (PR) સુવિધા તમને FPGA ના એક ભાગને ગતિશીલ રીતે પુનઃરૂપરેખાંકિત કરવાની મંજૂરી આપે છે, જ્યારે બાકીની FPGA ડિઝાઇન કાર્ય કરવાનું ચાલુ રાખે છે. તમે તમારી ડિઝાઇનમાં ચોક્કસ પ્રદેશ માટે બહુવિધ વ્યક્તિઓ બનાવી શકો છો જે આ પ્રદેશની બહારના વિસ્તારોમાં કામગીરીને અસર કરતા નથી. આ પદ્ધતિ એવી સિસ્ટમોમાં અસરકારક છે જ્યાં બહુવિધ કાર્યો સમાન FPGA ઉપકરણ સંસાધનોને સમય-શેર કરે છે. Intel Quartus® Prime Pro Edition સોફ્ટવેરનું વર્તમાન સંસ્કરણ આંશિક પુનઃરૂપરેખાંકન માટે એક નવો અને સરળ સંકલન પ્રવાહ રજૂ કરે છે. આ Intel Agilex સંદર્ભ ડિઝાઇન આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IP નો ઉપયોગ કરે છે અને એક સરળ PR પ્રદેશ ધરાવે છે.

Intel Agilex ઉપકરણ બાહ્ય હોસ્ટ હાર્ડવેર સેટઅપintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (1)

બાહ્ય યજમાન રૂપરેખાંકન

બાહ્ય હોસ્ટ રૂપરેખાંકનમાં, તમારે PR પ્રક્રિયાને હોસ્ટ કરવા માટે પ્રથમ બાહ્ય ઉપકરણમાં હોસ્ટ ડિઝાઇન બનાવવી આવશ્યક છે, જેમ કે Intel Agilex ઉપકરણ બાહ્ય હોસ્ટ હાર્ડવેર સેટઅપ બતાવે છે. હોસ્ટ ડિઝાઇન ઇન્ટેલ એજીલેક્સ એવલોન સ્ટ્રીમિંગ ઇન્ટરફેસ પિન પર રૂપરેખાંકન ડેટાને સ્ટ્રીમ કરે છે જે PR હેન્ડશેકિંગ સિગ્નલોને અનુરૂપ છે જે આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IPમાંથી આવે છે. બંને ઉપકરણોને કનેક્ટ કરવા માટે તમે જે PR પિનનો ઉપયોગ કરો છો તે કોઈપણ ઉપલબ્ધ વપરાશકર્તા I/Os હોઈ શકે છે.

નીચેનો ક્રમ રૂપરેખાંકન પિન ઓપરેશન દ્વારા આંશિક પુનઃરૂપરેખાંકનનું વર્ણન કરે છે:

  1. પ્રથમ pr_request પિન કે જે આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IP સાથે જોડાયેલ છે તેની ખાતરી કરો.
  2. IP એ PR પ્રક્રિયા ચાલુ છે (વૈકલ્પિક) દર્શાવવા માટે વ્યસ્ત સંકેતનો દાવો કરે છે.
  3. જો રૂપરેખાંકન સિસ્ટમ PR ઓપરેશન પસાર કરવા માટે તૈયાર હોય, તો avst_ready પિન ભારપૂર્વક દર્શાવે છે કે તે ડેટા સ્વીકારવા માટે તૈયાર છે.
  4. બેકપ્રેશર સાથે ડેટા ટ્રાન્સફર માટે એવલોન સ્ટ્રીમિંગ સ્પષ્ટીકરણનું અવલોકન કરતી વખતે, avst_data પિન અને avst_valid પિન પર PR રૂપરેખાંકન ડેટાને સ્ટ્રીમ કરવાનું શરૂ કરો.
  5. જ્યારે પણ avst_ready પિન ડી-એસર્ટ કરવામાં આવે ત્યારે સ્ટ્રીમિંગ બંધ થાય છે.
  6. તમામ રૂપરેખાંકન ડેટાને સ્ટ્રીમ કર્યા પછી, avst_ready પિન એ દર્શાવવા માટે ડી-એસર્ટ કરવામાં આવે છે કે PR ઓપરેશન માટે વધુ ડેટાની જરૂર નથી.
  7. આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IP ડેઝર્ટ પ્રક્રિયાના અંત (વૈકલ્પિક) દર્શાવવા માટે વ્યસ્ત સંકેત આપે છે.
  8. PR ઓપરેશન સફળતાપૂર્વક પૂર્ણ થયું છે કે કેમ તેની પુષ્ટિ કરવા માટે તમે pr_done અને pr_error પિન ચેક કરી શકો છો. જો કોઈ ભૂલ થાય છે, જેમ કે સંસ્કરણ ચકાસણી અને અધિકૃતતા તપાસમાં નિષ્ફળતા, PR કામગીરી સમાપ્ત થાય છે.

સંબંધિત માહિતી

  • Intel Agilex F-Series FPGA ડેવલપમેન્ટ કિટ Web પૃષ્ઠ
  • Intel Agilex F-Series FPGA ડેવલપમેન્ટ કિટ વપરાશકર્તા માર્ગદર્શિકા
  • ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રો એડિશન વપરાશકર્તા માર્ગદર્શિકા: આંશિક પુનઃરૂપરેખાંકન

આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IP
આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક PR કામગીરી માટે PR ડેટાને સ્ટ્રીમ કરવા માટે રૂપરેખાંકન પિનનો ઉપયોગ કરવા માટે જરૂરી છે. તમારે આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IP ના તમામ ઉચ્ચ-સ્તરના પોર્ટને pr_request પિન સાથે કનેક્ટ કરવું આવશ્યક છે જેથી કોરમાંથી સુરક્ષિત ઉપકરણ મેનેજર (SDM) સાથે હોસ્ટને હેન્ડશેક કરવાની મંજૂરી મળે. તમારી MSEL સેટિંગ અનુસાર, SDM નક્કી કરે છે કે કયા પ્રકારની રૂપરેખાંકન પિનનો ઉપયોગ કરવો.

આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IPintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (2)

આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક પરિમાણ સેટિંગ્સ

પરિમાણ મૂલ્ય વર્ણન
વ્યસ્ત ઈન્ટરફેસ સક્ષમ કરો સક્ષમ કરો or

અક્ષમ કરો

તમને વ્યસ્ત ઈન્ટરફેસને સક્ષમ અથવા અક્ષમ કરવાની મંજૂરી આપે છે, જે બાહ્ય રૂપરેખાંકન દરમિયાન PR પ્રક્રિયા ચાલુ છે તે દર્શાવવા માટે સંકેત આપે છે.

ડિફૉલ્ટ સેટિંગ છે અક્ષમ કરો.

આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક પોર્ટ્સ

પોર્ટ નામ પહોળાઈ દિશા કાર્ય
વિનંતી 1 ઇનપુટ સૂચવે છે કે PR પ્રક્રિયા શરૂ થવા માટે તૈયાર છે. સિગ્નલ એ એક નળી છે જે કોઈપણ ઘડિયાળના સંકેત સાથે સમન્વયિત નથી.
ભૂલ 2 આઉટપુટ આંશિક પુનઃરૂપરેખાંકન ભૂલ સૂચવે છે.:

• 2'b01—સામાન્ય PR ભૂલ

• 2'b11—અસંગત બીટસ્ટ્રીમ ભૂલ

આ સિગ્નલો કોઈપણ ઘડિયાળના સ્ત્રોત સાથે સુમેળ ધરાવતા નળીઓ છે.

પૂર્ણ_થયું 1 આઉટપુટ સૂચવે છે કે PR પ્રક્રિયા પૂર્ણ થઈ ગઈ છે. સિગ્નલ એ એક નળી છે જે કોઈપણ ઘડિયાળના સંકેત સાથે સમન્વયિત નથી.
સ્ટાર્ટ_એડર 1 ઇનપુટ સક્રિય સીરીયલ ફ્લેશમાં PR ડેટાના પ્રારંભનું સરનામું સ્પષ્ટ કરે છે. તમે બેમાંથી એક પસંદ કરીને આ સિગ્નલને સક્ષમ કરો એવલોન®-એસ.ટી or સક્રિય સીરીયલ માટે એવલોન-ST પિન અથવા સક્રિય સીરીયલ પિન સક્ષમ કરો પરિમાણ સિગ્નલ એ એક નળી છે જે કોઈપણ ઘડિયાળના સંકેત સાથે સમન્વયિત નથી.
રીસેટ 1 ઇનપુટ સક્રિય ઉચ્ચ, સિંક્રનસ રીસેટ સિગ્નલ.
આઉટ_ક્લક 1 આઉટપુટ ઘડિયાળનો સ્ત્રોત જે આંતરિક ઓસિલેટરમાંથી જનરેટ કરે છે.
વ્યસ્ત 1 આઉટપુટ PR ડેટા ટ્રાન્સફર ચાલુ છે તે દર્શાવવા માટે IP આ સિગ્નલનો દાવો કરે છે. તમે પસંદ કરીને આ સિગ્નલને સક્ષમ કરો સક્ષમ કરો માટે વ્યસ્ત ઇન્ટરફેસ સક્ષમ કરો પરિમાણ.

સંદર્ભ ડિઝાઇન આવશ્યકતાઓ

આ સંદર્ભ ડિઝાઇનના ઉપયોગ માટે નીચેનાની જરૂર છે:

  • Intel Agilex ઉપકરણ પરિવાર માટે સપોર્ટ સાથે Intel Quartus Prime Pro Edition 22.3 નું ઇન્સ્ટોલેશન.
  • બેન્ચ પર Intel Agilex F-Series FPGA ડેવલપમેન્ટ બોર્ડ સાથે કનેક્શન.
  • ડિઝાઇન ડાઉનલોડ કરો ભૂતપૂર્વampનીચેની જગ્યાએ ઉપલબ્ધ છે: https://github.com/intel/fpga-partial-reconfig.

ડિઝાઇન ડાઉનલોડ કરવા માટે ભૂતપૂર્વampલે:

  1. ક્લોન પર ક્લિક કરો અથવા ડાઉનલોડ કરો.
  2. ઝીપ ડાઉનલોડ કરો પર ક્લિક કરો. fpga-partial-reconfig-master.zip ને અનઝિપ કરો file.
  3. સંદર્ભ ડિઝાઇનને ઍક્સેસ કરવા માટે ટ્યુટોરિયલ્સ/agilex_external_pr_configuration સબફોલ્ડર પર નેવિગેટ કરો.

સંદર્ભ ડિઝાઇન વૉકથ્રુ

નીચેના પગલાંઓ Intel Agilex F-Series FPGA ડેવલપમેન્ટ બોર્ડ પર રૂપરેખાંકન પિન (બાહ્ય હોસ્ટ) દ્વારા આંશિક પુનઃરૂપરેખાંકનના અમલીકરણનું વર્ણન કરે છે:

  • પગલું 1: શરૂઆત કરવી
  • પગલું 2: ડિઝાઇન પાર્ટીશન બનાવવું
  • પગલું 3: પ્લેસમેન્ટ અને રૂટીંગ પ્રદેશોની ફાળવણી
  • પગલું 4: આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક IP ઉમેરવાનું
  • પગલું 5: વ્યક્તિઓની વ્યાખ્યા કરવી
  • પગલું 6: પુનરાવર્તનો બનાવી રહ્યા છીએ
  • પગલું 7: બેઝ રીવીઝન કમ્પાઈલ કરવું
  • પગલું 8: PR અમલીકરણ પુનરાવર્તનો તૈયાર કરી રહ્યા છીએ
  • પગલું 9: બોર્ડનું પ્રોગ્રામિંગ

પગલું 1: પ્રારંભ કરવું
સંદર્ભ ડિઝાઇનની નકલ કરવા fileતમારા કાર્યકારી વાતાવરણમાં s અને blinking_led ફ્લેટ ડિઝાઇનનું સંકલન કરો:

  1. તમારા કાર્યકારી વાતાવરણમાં ડિરેક્ટરી બનાવો, agilex_pcie_devkit_blinking_led_pr.
  2. ડાઉનલોડ કરેલ ટ્યુટોરિયલ્સ/agilex_pcie_devkit_blinking_led/flat સબ-ફોલ્ડરને ડિરેક્ટરીમાં કૉપિ કરો, agilex_pcie_devkit_blinking_led_pr.
  3. Intel Quartus Prime Pro Edition સોફ્ટવેરમાં, ક્લિક કરો File ➤ પ્રોજેક્ટ ખોલો અને blinking_led.qpf પસંદ કરો.
  4. ફ્લેટ ડિઝાઇનના વંશવેલાને વિસ્તૃત કરવા માટે, પ્રોસેસિંગ ➤ પ્રારંભ ➤ વિશ્લેષણ અને સંશ્લેષણ શરૂ કરો ક્લિક કરો. વૈકલ્પિક રીતે, કમાન્ડ-લાઇન પર, નીચેનો આદેશ ચલાવો: quartus_syn blinking_led -c blinking_led

ડિઝાઇન પાર્ટીશન બનાવવું

તમારે દરેક PR પ્રદેશ માટે ડિઝાઇન પાર્ટીશનો બનાવવા જ જોઈએ કે જેને તમે આંશિક રીતે પુનઃરૂપરેખાંકિત કરવા માંગો છો. નીચેના પગલાં u_blinking_led ઉદાહરણ માટે ડિઝાઇન પાર્ટીશન બનાવે છે.

ડિઝાઇન પાર્ટીશનો બનાવી રહ્યા છેintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (3)

  1. પ્રોજેક્ટ નેવિગેટરમાં u_blinking_led ઉદાહરણ પર રાઇટ-ક્લિક કરો અને ડિઝાઇન પાર્ટીશન ➤ પુનઃરૂપરેખાંકિત પર ક્લિક કરો. પાર્ટીશન તરીકે સુયોજિત થયેલ દરેક દાખલાની બાજુમાં ડિઝાઇન પાર્ટીશન ચિહ્ન દેખાય છે.
  2. અસાઇનમેન્ટ ➤ ડિઝાઇન પાર્ટીશનો વિન્ડો પર ક્લિક કરો. વિન્ડો પ્રોજેક્ટમાં તમામ ડિઝાઇન પાર્ટીશનો દર્શાવે છે.
  3. નામ પર ડબલ-ક્લિક કરીને ડિઝાઇન પાર્ટીશનો વિન્ડોમાં પાર્ટીશનના નામમાં ફેરફાર કરો. આ સંદર્ભ ડિઝાઇન માટે, પાર્ટીશનનું નામ pr_partition માં બદલો
    • નોંધ: જ્યારે તમે પાર્ટીશન બનાવો છો, ત્યારે ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ સોફ્ટવેર આપોઆપ પાર્ટીશન નામ જનરેટ કરે છે, જે ઇન્સ્ટન્સ નામ અને વંશવેલો પાથ પર આધારિત છે. આ મૂળભૂત પાર્ટીશન નામ દરેક ઉદાહરણ સાથે બદલાઈ શકે છે.
  4. બેઝ રિવિઝન કમ્પાઇલમાંથી અંતિમ સ્ટેટિક પ્રદેશની નિકાસ કરવા માટે, પોસ્ટ ફાઇનલ એક્સપોર્ટમાં રૂટ_પાર્ટીશન માટેની એન્ટ્રી પર ડબલ-ક્લિક કરો. File કૉલમ, અને ટાઈપ કરો blinking_led_static. જીડીબી

ડિઝાઇન પાર્ટીશનો વિન્ડોમાં પોસ્ટ ફાઇનલ સ્નેપશોટ નિકાસ કરી રહ્યું છેintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (4)ચકાસો કે blinking_led.qsf તમારા પુનઃરૂપરેખાંકન કરી શકાય તેવા ડિઝાઈન પાર્ટીશનને અનુરૂપ નીચેની સોંપણીઓ ધરાવે છે:intel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (5)

સંબંધિત માહિતી
ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રો એડિશનમાં "ડિઝાઇન પાર્ટીશનો બનાવો" વપરાશકર્તા માર્ગદર્શિકા: આંશિક પુનઃરૂપરેખાંકન

PR પાર્ટીશન માટે પ્લેસમેન્ટ અને રૂટીંગ ક્ષેત્રની ફાળવણી
તમે બનાવો છો તે દરેક બેઝ રિવિઝન માટે, PR ડિઝાઇન ફ્લો તમારા PR પાર્ટીશન પ્રદેશમાં અનુરૂપ વ્યક્તિત્વ કોરને મૂકે છે. તમારા બેઝ રિવિઝન માટે ઉપકરણ ફ્લોરપ્લાનમાં PR પ્રદેશને શોધવા અને સોંપવા માટે:

  1. પ્રોજેક્ટ નેવિગેટરમાં u_blinking_led ઉદાહરણ પર જમણું-ક્લિક કરો અને Logic Lock Region પર ક્લિક કરો ➤ નવો લોજિક લોક પ્રદેશ બનાવો. પ્રદેશ લોજિક લોક પ્રદેશો વિન્ડો પર દેખાય છે.
  2. તમારા પ્લેસમેન્ટ ક્ષેત્રે blinking_led લોજિક બંધ કરવું આવશ્યક છે. ચિપ પ્લાનરમાં નોડ શોધીને પ્લેસમેન્ટ ક્ષેત્ર પસંદ કરો. Logic Lock Regions Window માં u_blinking_led પ્રદેશના નામ પર જમણું-ક્લિક કરો અને ક્લિક કરો

નોડ શોધો ➤ ચિપ પ્લાનરમાં શોધો. u_blinking_led પ્રદેશ કલર-કોડેડ છે

blinking_led માટે ચિપ પ્લાનર નોડ સ્થાનintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (6)

  1. Logic Lock Regions વિંડોમાં, ઑરિજિન કૉલમમાં પ્લેસમેન્ટ રિજન કો-ઓર્ડિનેટ્સનો ઉલ્લેખ કરો. મૂળ પ્રદેશના નીચલા-ડાબા ખૂણાને અનુરૂપ છે. માજી માટેample, (X1 Y1) કો-ઓર્ડિનેટ્સ (163 4) સાથે પ્લેસમેન્ટ ક્ષેત્ર સેટ કરવા માટે, X163_Y4 તરીકે મૂળનો ઉલ્લેખ કરો. ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ સોફ્ટવેર પ્લેસમેન્ટ ક્ષેત્ર માટે (X2 Y2) કો-ઓર્ડિનેટ્સ (ઉપર-જમણે) ની આપમેળે ગણતરી કરે છે, તમે ઉલ્લેખિત ઊંચાઈ અને પહોળાઈના આધારે.
    • નોંધ: આ ટ્યુટોરીયલ પ્લેસમેન્ટ ક્ષેત્ર માટે (X1 Y1) કો-ઓર્ડિનેટ્સ – (163 4), અને 20 ની ઊંચાઈ અને પહોળાઈનો ઉપયોગ કરે છે. પ્લેસમેન્ટ ક્ષેત્ર માટે કોઈપણ મૂલ્ય વ્યાખ્યાયિત કરો. ખાતરી કરો કે પ્રદેશ બ્લિંકિંગ_લેડ લોજિકને આવરી લે છે.
  2. આરક્ષિત અને કોર-ઓન્લી વિકલ્પોને સક્ષમ કરો.
  3. Routing Region વિકલ્પ પર ડબલ-ક્લિક કરો. લોજિક લોક રૂટીંગ રીજન સેટિંગ્સ સંવાદ બોક્સ દેખાય છે.
  4. રૂટીંગ પ્રકાર માટે વિસ્તરણ સાથે સ્થિર પસંદ કરો. આ વિકલ્પ પસંદ કરવાથી આપમેળે 2 ની વિસ્તરણ લંબાઈ અસાઇન થાય છે.
    • નોંધ: જ્યારે એન્જિન અલગ-અલગ વ્યક્તિઓને રૂટ કરે છે ત્યારે ફિટરને વધારાની લવચીકતા પૂરી પાડવા માટે રૂટીંગ ક્ષેત્ર પ્લેસમેન્ટ ક્ષેત્ર કરતા મોટો હોવો જોઈએ.

તર્ક લોક પ્રદેશો વિન્ડોintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (7)ચકાસો કે blinking_led.qsf તમારા ફ્લોર પ્લાનિંગને અનુરૂપ નીચેની સોંપણીઓ ધરાવે છે:intel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (8)intel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (9)

સંબંધિત માહિતી
ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રો એડિશન વપરાશકર્તા માર્ગદર્શિકામાં "ફ્લોરપ્લાન આંશિક પુનઃરૂપરેખાંકન ડિઝાઇન": આંશિક પુનઃરૂપરેખાંકન

આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IP ઉમેરવાનું
આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IP ઇન્ટરફેસ બિટસ્ટ્રીમ સ્ત્રોતનું સંચાલન કરવા માટે Intel Agilex PR નિયંત્રણ બ્લોક સાથે કરે છે. બાહ્ય રૂપરેખાંકનને અમલમાં મૂકવા માટે તમારે તમારી ડિઝાઇનમાં આ IP ઉમેરવો આવશ્યક છે. આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક ઉમેરવા માટે આ પગલાં અનુસરો
તમારા પ્રોજેક્ટ માટે Intel FPGA IP:

  1. IP કેટલોગ શોધ ક્ષેત્રમાં આંશિક પુનઃરૂપરેખાંકન ટાઇપ કરો (ટૂલ્સ ➤ IP કેટલોગ).
  2. આંશિક પુનઃરૂપરેખાંકન બાહ્ય રૂપરેખાંકન નિયંત્રક Intel FPGA IP પર ડબલ-ક્લિક કરો.
  3. IP વેરિયન્ટ બનાવો સંવાદ બોક્સમાં, external_host_pr_ip આ તરીકે ટાઈપ કરો File નામ, અને પછી બનાવો ક્લિક કરો. પરિમાણ સંપાદક દેખાય છે.
  4. વ્યસ્ત ઇન્ટરફેસ પરિમાણને સક્ષમ કરવા માટે, અક્ષમ કરો (ડિફૉલ્ટ સેટિંગ) પસંદ કરો. જ્યારે તમારે આ સિગ્નલનો ઉપયોગ કરવાની જરૂર હોય, ત્યારે તમે સેટિંગને સક્ષમ પર સ્વિચ કરી શકો છો.

પેરામીટર એડિટરમાં વ્યસ્ત ઈન્ટરફેસ પેરામીટરને સક્ષમ કરોintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (10)

  1. ક્લિક કરો File ➤ સિસ્ટમ જનરેટ કર્યા વિના પેરામીટર એડિટરને સાચવો અને બહાર નીકળો. પેરામીટર એડિટર બાહ્ય_host_pr_ip.ip IP વિવિધતા જનરેટ કરે છે file અને ઉમેરે છે કે file blinking_led પ્રોજેક્ટ માટે. AN 991: રૂપરેખાંકન પિન દ્વારા આંશિક પુનઃરૂપરેખાંકન (બાહ્ય યજમાન) સંદર્ભ ડિઝાઇન 750856 | 2022.11.14 AN 991:
    • નોંધ:
    • a. જો તમે external_host_pr_ip.ip ની નકલ કરી રહ્યા છો file pr ડિરેક્ટરીમાંથી, blinking_led.qsf ને મેન્યુઅલી એડિટ કરો file નીચેની લાઇનને સમાવવા માટે: set_global_assignment -name IP_FILE pr_ip.ip
    • b. IP_ મૂકોFILE SDC_ પછી સોંપણીFILE તમારા blinking_led.qsf માં સોંપણીઓ (blinking_led. dc). file. આ ઓર્ડરિંગ આંશિક પુનઃરૂપરેખાંકન નિયંત્રક IP કોરના યોગ્ય અવરોધની ખાતરી કરે છે.
    • નોંધ: ઘડિયાળો શોધવા માટે, .sdc file PR IP માટે કોઈપણ .sdc ને અનુસરવું જોઈએ જે ઘડિયાળો બનાવે છે જેનો IP કોર ઉપયોગ કરે છે. તમે ખાતરી કરીને આ ઓર્ડરની સુવિધા આપો છો કે .ip file PR માટે IP કોર કોઈપણ .ip પછી દેખાય છે files અથવા .sdc files જેનો ઉપયોગ તમે .qsf માં આ ઘડિયાળોને વ્યાખ્યાયિત કરવા માટે કરો છો file તમારા ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રોજેક્ટ રિવિઝન માટે. વધુ માહિતી માટે, આંશિક પુનઃરૂપરેખાંકન IP સોલ્યુશન્સ વપરાશકર્તા માર્ગદર્શિકાનો સંદર્ભ લો.

ટોપ-લેવલ ડિઝાઇન અપડેટ કરી રહ્યું છે

top.sv અપડેટ કરવા માટે file PR_IP ઉદાહરણ સાથે:

  1. ટોપ-લેવલ ડિઝાઇનમાં external_host_pr_ip દાખલા ઉમેરવા માટે, top.sv માં નીચેના કોડ બ્લોક્સને અનકોમેન્ટ કરો file:intel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (11)

વ્યક્તિઓની વ્યાખ્યા
આ સંદર્ભ ડિઝાઇન સિંગલ PR પાર્ટીશન માટે ત્રણ અલગ વ્યક્તિઓને વ્યાખ્યાયિત કરે છે. તમારા પ્રોજેક્ટમાં વ્યક્તિઓને વ્યાખ્યાયિત કરવા અને શામેલ કરવા માટે:

  1. ત્રણ SystemVerilog બનાવો fileત્રણ વ્યક્તિઓ માટે તમારી કાર્યકારી નિર્દેશિકામાં s, blinking_led.sv, blinking_led_slow.sv, અને blinking_led_empty.sv.

સંદર્ભ ડિઝાઇન વ્યક્તિઓintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (12) intel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (13)

નોંધ:

  • blinking_led.sv ના ભાગ રૂપે પહેલેથી જ ઉપલબ્ધ છે fileતમે ફ્લેટ/સબ-ડિરેક્ટરીમાંથી નકલ કરો છો. તમે ફક્ત આનો ફરીથી ઉપયોગ કરી શકો છો file.
  • જો તમે SystemVerilog બનાવો છો fileઇન્ટેલ ક્વાર્ટસ પ્રાઇમ ટેક્સ્ટ એડિટરમાંથી s, એડને અક્ષમ કરો file વર્તમાન પ્રોજેક્ટ વિકલ્પ પર, સાચવતી વખતે files.

પુનરાવર્તનો બનાવી રહ્યા છીએ

PR ડિઝાઇન ફ્લો ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ સોફ્ટવેરમાં પ્રોજેક્ટ રિવિઝન ફીચરનો ઉપયોગ કરે છે. તમારી પ્રારંભિક ડિઝાઇન એ બેઝ રિવિઝન છે, જ્યાં તમે FPGA પર સ્થિર પ્રદેશની સીમાઓ અને ફરીથી ગોઠવી શકાય તેવા પ્રદેશોને વ્યાખ્યાયિત કરો છો. બેઝ રિવિઝનથી, તમે બહુવિધ રિવિઝન બનાવો છો. આ સંશોધનોમાં PR પ્રદેશો માટે વિવિધ અમલીકરણો છે. જો કે, તમામ PR અમલીકરણ પુનરાવર્તનો બેઝ રિવિઝનમાંથી સમાન ટોપ-લેવલ પ્લેસમેન્ટ અને રૂટીંગ પરિણામોનો ઉપયોગ કરે છે. PR ડિઝાઇન કમ્પાઇલ કરવા માટે, તમારે દરેક વ્યક્તિત્વ માટે PR અમલીકરણ પુનરાવર્તન બનાવવું આવશ્યક છે. વધુમાં, તમારે દરેક પુનરાવર્તનો માટે પુનરાવર્તન પ્રકારો અસાઇન કરવા આવશ્યક છે. ઉપલબ્ધ પુનરાવર્તન પ્રકારો છે:

  • આંશિક પુનઃરૂપરેખાંકન - આધાર
  • આંશિક પુનઃરૂપરેખાંકન - વ્યક્તિત્વ અમલીકરણ

નીચેનું કોષ્ટક દરેક પુનરાવર્તન માટે પુનરાવર્તન નામ અને પુનરાવર્તન પ્રકારને સૂચિબદ્ધ કરે છે:

પુનરાવર્તન નામો અને પ્રકારો

પુનરાવર્તન નામ પુનરાવર્તન પ્રકાર
blinking_led.qsf આંશિક પુનઃરૂપરેખાંકન - આધાર
blinking_led_default.qsf આંશિક પુનઃરૂપરેખાંકન - વ્યક્તિત્વ અમલીકરણ
ઝબકતું_લેડ_ધીમું.qsf આંશિક પુનઃરૂપરેખાંકન - વ્યક્તિત્વ અમલીકરણ
બ્લિંકિંગ_લેડ_એમ્પ્ટી.ક્યુએસએફ આંશિક પુનઃરૂપરેખાંકન - વ્યક્તિત્વ અમલીકરણ

આધાર પુનરાવર્તન પ્રકાર સુયોજિત કરી રહ્યા છીએ

  1. પ્રોજેક્ટ ➤ પુનરાવર્તનો પર ક્લિક કરો.
  2. પુનરાવર્તન નામમાં, blinking_led પુનરાવર્તન પસંદ કરો અને પછી Set Current પર ક્લિક કરો.
  3. લાગુ કરો ક્લિક કરો. blinking_led પુનરાવર્તન વર્તમાન પુનરાવર્તન તરીકે પ્રદર્શિત થાય છે.
  4. blinking_led માટે પુનરાવર્તન પ્રકાર સેટ કરવા માટે, Assignments ➤ Settings ➤ General પર ક્લિક કરો.
  5. પુનરાવર્તન પ્રકાર માટે, આંશિક પુનઃરૂપરેખાંકન – આધાર પસંદ કરો અને પછી બરાબર ક્લિક કરો.
  6. ચકાસો કે blinking_led.qsf હવે નીચે આપેલ અસાઇનમેન્ટ ધરાવે છે: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE

અમલીકરણ પુનરાવર્તનો બનાવવી

  1. પુનરાવર્તનો સંવાદ બોક્સ ખોલવા માટે, પ્રોજેક્ટ ➤ પુનરાવર્તનો પર ક્લિક કરો.
  2. નવું પુનરાવર્તન બનાવવા માટે, < પર ડબલ-ક્લિક કરો >.
  3. પુનરાવર્તન નામમાં, blinking_led_default નો ઉલ્લેખ કરો અને પુનરાવર્તનના આધારે blinking_led પસંદ કરો.
  4. પુનરાવર્તન પ્રકાર માટે, આંશિક પુનઃરૂપરેખાંકન - વ્યક્તિ અમલીકરણ પસંદ કરો.

પુનરાવર્તનો બનાવી રહ્યા છીએintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (14)

  1. એ જ રીતે, blinking_led_slow અને blinking_led_empty પુનરાવર્તનો માટે પુનરાવર્તન પ્રકાર સેટ કરો.
  2. ચકાસો કે દરેક .qsf file હવે નીચે આપેલ અસાઇનમેન્ટ સમાવે છે: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led જ્યાં, નવા બનાવેલા PR અમલીકરણ પુનરાવર્તન માટે સ્થળ_હોલ્ડર એ ડિફોલ્ટ એન્ટિટી નામ છે.

પ્રોજેક્ટ પુનરાવર્તનોintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (16)

બેઝ રિવિઝનનું સંકલન કરવું

  1. બેઝ રિવિઝન કમ્પાઈલ કરવા માટે, પ્રોસેસિંગ ➤ સ્ટાર્ટ કમ્પાઈલેશન પર ક્લિક કરો. વૈકલ્પિક રીતે, નીચેનો આદેશ બેઝ રિવિઝનને કમ્પાઇલ કરે છે: quartus_sh –flow compile blinking_led -c blinking_led
  2. બીટસ્ટ્રીમનું નિરીક્ષણ કરો files જે આઉટપુટમાં જનરેટ કરે છે_files ડિરેક્ટરી.

જનરેટ કર્યું Files

નામ પ્રકાર વર્ણન
ઝબકતું_લેડ.સોફ બેઝ પ્રોગ્રામિંગ file ફુલ-ચિપ બેઝ કન્ફિગરેશન માટે વપરાય છે
બ્લિંકિંગ_લેડ.પીઆર_પાર્ટીશન.આરબીએફ પીઆર બિટસ્ટ્રીમ file આધાર વ્યક્તિત્વ માટે આધાર વ્યક્તિત્વના આંશિક પુનઃરૂપરેખાંકન માટે વપરાય છે.
બ્લિંકિંગ_લેડ_સ્ટેટિક.ક્યુડીબી .qdb ડેટાબેઝ file અંતિમ ડેટાબેઝ file સ્થિર પ્રદેશ આયાત કરવા માટે વપરાય છે.

સંબંધિત માહિતી

  • ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રો એડિશન વપરાશકર્તા માર્ગદર્શિકામાં "ફ્લોરપ્લાન આંશિક પુનઃરૂપરેખાંકન ડિઝાઇન": આંશિક પુનઃરૂપરેખાંકન
  • ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રો એડિશન વપરાશકર્તા માર્ગદર્શિકામાં "ફ્લોરપ્લાન અવરોધો વધતા જતા લાગુ કરો": આંશિક પુનઃરૂપરેખાંકન

PR અમલીકરણ સંશોધનો તૈયાર કરી રહ્યા છીએ
તમે ઉપકરણ પ્રોગ્રામિંગ માટે PR બિટસ્ટ્રીમ કમ્પાઇલ અને જનરેટ કરો તે પહેલાં તમારે PR અમલીકરણના પુનરાવર્તનો તૈયાર કરવા આવશ્યક છે. આ સેટઅપમાં સ્થિર પ્રદેશ .qdb ઉમેરવાનો સમાવેશ થાય છે file સ્ત્રોત તરીકે file દરેક અમલીકરણ પુનરાવર્તન માટે. વધુમાં, તમારે PR પ્રદેશની અનુરૂપ એન્ટિટીનો ઉલ્લેખ કરવો આવશ્યક છે.

  1. વર્તમાન પુનરાવર્તન સુયોજિત કરવા માટે, પ્રોજેક્ટ ➤ પુનરાવર્તનો પર ક્લિક કરો, પુનરાવર્તન નામ તરીકે blinking_led_default પસંદ કરો અને પછી Set Current પર ક્લિક કરો.
  2. દરેક અમલીકરણ પુનરાવર્તન માટે સાચો સ્ત્રોત ચકાસવા માટે, પ્રોજેક્ટ ➤ઉમેરો/દૂર કરો ક્લિક કરો Fileપ્રોજેક્ટમાં એસ. ધ બ્લિંકિંગ_લેડ.એસ.વી file માં દેખાય છે file યાદી

Filespageintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (17)

  1. અન્ય અમલીકરણ પુનરાવર્તન સ્ત્રોતને ચકાસવા માટે પગલાં 1 થી 2 નું પુનરાવર્તન કરો files:
અમલીકરણ પુનરાવર્તન નામ સ્ત્રોત File
blinking_led_default બ્લિંકિંગ_લેડ.એસવી
blinking_led_lepty blinking_led_empty.sv
બ્લિંકિંગ_લેડ_ધીમી blinking_led_slow.sv
  1. .qdb ને ચકાસવા માટે file રુટ પાર્ટીશન સાથે સંકળાયેલ, અસાઇનમેન્ટ્સ ➤ ડિઝાઇન પાર્ટીશનો વિન્ડો પર ક્લિક કરો. ખાતરી કરો કે પાર્ટીશન ડેટાબેઝ File blinking_led_static.qdb નો ઉલ્લેખ કરે છે file, અથવા પાર્ટીશન ડેટાબેઝ પર ડબલ-ક્લિક કરો File આ સ્પષ્ટ કરવા માટે સેલ file. વૈકલ્પિક રીતે, નીચેનો આદેશ આને સોંપે છે file: set_instance_assignment -નામ QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
  2. એન્ટિટી રિ-બાઈન્ડિંગ સેલમાં, દરેક PR પાર્ટીશનના એન્ટિટી નામનો ઉલ્લેખ કરો કે જે તમે અમલીકરણના પુનરાવર્તનમાં બદલો છો. blinking_led_default અમલીકરણ પુનરાવર્તન માટે, એન્ટિટીનું નામ blinking_led છે. આ ટ્યુટોરીયલમાં, તમે નવા blinking_led એન્ટિટી સાથે બેઝ રિવિઝન કમ્પાઈલમાંથી u_blinking_led દાખલાને ઓવરરાઈટ કરો છો.

નોંધ: પ્લેસહોલ્ડર એન્ટિટી રિબાઇન્ડિંગ અસાઇનમેન્ટ અમલીકરણના પુનરાવર્તનમાં આપમેળે ઉમેરવામાં આવે છે. જો કે, તમારે અસાઇનમેન્ટમાં ડિફોલ્ટ એન્ટિટી નામને તમારી ડિઝાઇન માટે યોગ્ય એન્ટિટી નામમાં બદલવું આવશ્યક છે.

અમલીકરણ પુનરાવર્તન નામ એન્ટિટી ફરીથી બંધનકર્તા
blinking_led_default blinking_led
બ્લિંકિંગ_લેડ_ધીમી બ્લિંકિંગ_લેડ_ધીમી
blinking_led_lepty blinking_led_lepty

એન્ટિટી રિબાઇન્ડિંગintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (18)

  1. ડિઝાઇન કમ્પાઇલ કરવા માટે, પ્રોસેસિંગ ➤ સંકલન શરૂ કરો ક્લિક કરો. વૈકલ્પિક રીતે, નીચેનો આદેશ આ પ્રોજેક્ટને કમ્પાઇલ કરે છે: quartus_sh –flow compile blinking_led –c blinking_led_default
  2. blinking_led_slow અને blinking_led_empty પુનરાવર્તનો તૈયાર કરવા માટે ઉપરોક્ત પગલાંઓનું પુનરાવર્તન કરો: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt

નોંધ: તમે PR અમલીકરણ સંકલન દરમિયાન લાગુ કરવા માંગો છો તે કોઈપણ ફિટર વિશિષ્ટ સેટિંગ્સનો ઉલ્લેખ કરી શકો છો. ફિટર ચોક્કસ સેટિંગ્સ આયાત કરેલા સ્થિર પ્રદેશને અસર કર્યા વિના માત્ર વ્યક્તિત્વના ફિટને અસર કરે છે.

બોર્ડનું પ્રોગ્રામિંગ
આ ટ્યુટોરીયલ તમારા હોસ્ટ મશીનમાં PCIe* સ્લોટની બહાર, બેન્ચ પર Intel Agilex F-Series FPGA ડેવલપમેન્ટ બોર્ડનો ઉપયોગ કરે છે. તમે બોર્ડને પ્રોગ્રામ કરો તે પહેલાં, ખાતરી કરો કે તમે નીચેના પગલાં પૂર્ણ કર્યા છે:

  1. Intel Agilex F-Series FPGA ડેવલપમેન્ટ બોર્ડ સાથે પાવર સપ્લાયને કનેક્ટ કરો.
  2. Intel FPGA ડાઉનલોડ કેબલને તમારા PC USB પોર્ટ અને Intel FPGA ડાઉનલોડ કેબલ પોર્ટ વચ્ચે વિકાસ બોર્ડ પર કનેક્ટ કરો.

Intel Agilex F-Series FPGA ડેવલપમેન્ટ બોર્ડ પર ડિઝાઇન ચલાવવા માટે:

  1. Intel Quartus Prime સોફ્ટવેર ખોલો અને Tools ➤ Programmer પર ક્લિક કરો.
  2. પ્રોગ્રામરમાં, હાર્ડવેર સેટઅપ પર ક્લિક કરો અને યુએસબી-બ્લાસ્ટર પસંદ કરો.
  3. સ્વતઃ શોધ પર ક્લિક કરો અને ઉપકરણ પસંદ કરો, AGFB014R24AR0.
  4. OK પર ક્લિક કરો. Intel Quartus Prime સોફ્ટવેર બોર્ડ પરના ત્રણ FPGA ઉપકરણો સાથે પ્રોગ્રામરને શોધે છે અને અપડેટ કરે છે.
  5. AGFB014R24AR0 ઉપકરણ પસંદ કરો, બદલો ક્લિક કરો File અને blinking_led_default.sof લોડ કરો file.
  6. blinking_led_default.sof માટે પ્રોગ્રામ/કોન્ફિગરને સક્ષમ કરો file.
  7. સ્ટાર્ટ પર ક્લિક કરો અને પ્રોગ્રેસ બાર 100% સુધી પહોંચે તેની રાહ જુઓ.
  8. મૂળ ફ્લેટ ડિઝાઇનની સમાન આવર્તન પર ઝબકતા બોર્ડ પરના એલઇડીનું અવલોકન કરો.
  9. ફક્ત PR પ્રદેશને પ્રોગ્રામ કરવા માટે, blinking_led_default.sof પર જમણું-ક્લિક કરો file પ્રોગ્રામરમાં અને PR પ્રોગ્રામિંગ ઉમેરો પર ક્લિક કરો File.
  10. blinking_led_slow.pr_partition.rbf પસંદ કરો file.
  11. blinking_led_default.sof માટે પ્રોગ્રામ/કોન્ફિગરને અક્ષમ કરો file.
  12. blinking_led_slow.pr_partition.rbf માટે પ્રોગ્રામ/કોન્ફિગર સક્ષમ કરો file અને સ્ટાર્ટ પર ક્લિક કરો. બોર્ડ પર, LED[0] અને LED[1] સતત ઝબકવાનું અવલોકન કરો. જ્યારે પ્રોગ્રેસ બાર 100% સુધી પહોંચે છે, ત્યારે LED[2] અને LED[3] ધીમી ઝબકશે.
  13. PR પ્રદેશને ફરીથી પ્રોગ્રામ કરવા માટે, .rbf પર જમણું-ક્લિક કરો file પ્રોગ્રામરમાં અને ચેન્જ PR પ્રોગ્રામિંગ પર ક્લિક કરો File.
  14. .rbf પસંદ કરો fileઅન્ય બે વ્યક્તિઓ માટે બોર્ડ પરના વર્તનનું નિરીક્ષણ કરવા માટે. blinking_led_default.rbf લોડ કરી રહ્યું છે file LED ને ચોક્કસ આવર્તન પર ઝબકવાનું કારણ બને છે, અને blinking_led_empty.rbf લોડ થાય છે file LED ને ચાલુ રાખવાનું કારણ બને છે.

Intel Agilex F-Series FPGA ડેવલપમેન્ટ બોર્ડનું પ્રોગ્રામિંગintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (19)હાર્ડવેર પરીક્ષણ પ્રવાહ

નીચેના સિક્વન્સ સંદર્ભ ડિઝાઇન હાર્ડવેર પરીક્ષણ પ્રવાહનું વર્ણન કરે છે.
Intel Agilex ઉપકરણ બાહ્ય હોસ્ટ હાર્ડવેર સેટઅપintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (20)

પ્રોગ્રામ હેલ્પર FPGA (બાહ્ય યજમાન)
નીચેનો ક્રમ સહાયક FPGA ના પ્રોગ્રામિંગનું વર્ણન કરે છે જે PR પ્રક્રિયા બાહ્ય હોસ્ટ તરીકે કાર્ય કરે છે:

  1. એવલોન સ્ટ્રીમિંગ ઈન્ટરફેસ સેટિંગનો ઉલ્લેખ કરો જે તમે પસંદ કરો છો તે મોડ (x8, x16, અથવા x32) સાથે સુસંગત છે.
  2. ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રોગ્રામર અને કનેક્ટેડ રૂપરેખાંકન કેબલનો ઉપયોગ કરીને સહાયક FPGA ને પ્રોગ્રામ કરીને પ્લેટફોર્મ શરૂ કરો.
  3. સહાયક FPGA નો ઉપયોગ કરીને, CONF_DONE અને AVST_READY સંકેતો વાંચો. CONF_DONE 0 હોવો જોઈએ, AVST_READY 1 હોવો જોઈએ. આ પિન પર ઉચ્ચ તર્ક સૂચવે છે કે SDM બાહ્ય હોસ્ટનો ડેટા સ્વીકારવા માટે તૈયાર છે. આ આઉટપુટ SDM I/O નો ભાગ છે.

નોંધ: CONF_DONE પિન બાહ્ય હોસ્ટને સંકેત આપે છે કે બીટસ્ટ્રીમ ટ્રાન્સફર સફળ છે. સંપૂર્ણ ચિપ ગોઠવણી પ્રક્રિયાને મોનિટર કરવા માટે જ આ સંકેતોનો ઉપયોગ કરો. આ પિન પર વધુ માહિતી માટે Intel Agilex રૂપરેખાંકન વપરાશકર્તા માર્ગદર્શિકાનો સંદર્ભ લો.

બાહ્ય હોસ્ટ દ્વારા પૂર્ણ ચિપ SOF સાથે DUT FPGA ને પ્રોગ્રામ કરો નીચેનો ક્રમ સંપૂર્ણ ચિપ SRAM ઑબ્જેક્ટ સાથે DUT FPGA ના પ્રોગ્રામિંગનું વર્ણન કરે છે File (.sof) હોસ્ટ એવલોન સ્ટ્રીમિંગ ઈન્ટરફેસનો ઉપયોગ કરીને:

  1. સહાયક FPGA (બાહ્ય હોસ્ટ) ની DDR4 બાહ્ય મેમરીમાં સંપૂર્ણ ચિપ બિટસ્ટ્રીમ લખો.
  2. Avalon સ્ટ્રીમિંગ ઈન્ટરફેસ (x8, x16, x32) નો ઉપયોગ કરીને સંપૂર્ણ ચિપ .sof સાથે DUT FPGA ને ગોઠવો.
  3. સ્થિતિ DUT FPGA રૂપરેખાંકન સંકેતો વાંચો. CONF_DONE 1 હોવો જોઈએ, AVST_READY 0 હોવો જોઈએ.

સમય સ્પષ્ટીકરણો: આંશિક પુનઃરૂપરેખાંકન બાહ્ય નિયંત્રક Intel FPGA IPintel-750856-Agilex-FPGA-વિકાસ-બોર્ડ-FIG-1 (21)

બાહ્ય હોસ્ટ દ્વારા પ્રથમ વ્યક્તિ સાથે DUT FPGA ને પ્રોગ્રામ કરો

  1. DUT FPGA માં લક્ષ્ય PR પ્રદેશ પર ફ્રીઝ લાગુ કરો.
  2. ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ સિસ્ટમ કન્સોલનો ઉપયોગ કરીને, આંશિક પુનઃરૂપરેખાંકન શરૂ કરવા માટે pr_request નો દાવો કરો. AVST_READY 1 હોવો જોઈએ.
  3. હેલ્પર FPGA (બાહ્ય હોસ્ટ) ની DDR4 બાહ્ય મેમરીમાં પ્રથમ PR વ્યક્તિત્વ બિટસ્ટ્રીમ લખો.
  4. એવલોન સ્ટ્રીમિંગ ઈન્ટરફેસ (x8, x16, x32) નો ઉપયોગ કરીને, પ્રથમ વ્યક્તિના બીટસ્ટ્રીમ સાથે DUT FPGA ને ફરીથી ગોઠવો.
  5. PR સ્થિતિનું નિરીક્ષણ કરવા માટે, સિસ્ટમ કન્સોલ શરૂ કરવા માટે ટૂલ્સ ➤ સિસ્ટમ કન્સોલ પર ક્લિક કરો. સિસ્ટમ કન્સોલમાં, પીઆર સ્થિતિનું નિરીક્ષણ કરો:
    • pr_error 2 છે — પુનઃરૂપરેખાંકન પ્રક્રિયામાં છે.
    • pr_error 3 છે—પુનઃરૂપરેખાંકન પૂર્ણ થયું છે.
  6. DUT FPGA માં PR પ્રદેશ પર અનફ્રીઝ લાગુ કરો.

નોંધ: જો PR ઓપરેશન દરમિયાન કોઈ ભૂલ થાય છે, જેમ કે વર્ઝન ચેકિંગ અથવા અધિકૃતતા તપાસમાં નિષ્ફળતા, તો PR ઓપરેશન સમાપ્ત થાય છે.

સંબંધિત માહિતી

  • Intel Agilex રૂપરેખાંકન વપરાશકર્તા માર્ગદર્શિકા
  • ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રો એડિશન વપરાશકર્તા માર્ગદર્શિકા: ડીબગ ટૂલ્સ

AN 991 માટે દસ્તાવેજ પુનરાવર્તન ઇતિહાસ: Intel Agilex F-Series FPGA ડેવલપમેન્ટ બોર્ડ માટે રૂપરેખાંકન પિન (બાહ્ય યજમાન) સંદર્ભ ડિઝાઇન દ્વારા આંશિક પુનઃરૂપરેખાંકન

દસ્તાવેજ સંસ્કરણ ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ વર્ઝન ફેરફારો
2022.11.14 22.3 • પ્રારંભિક પ્રકાશન.

AN 991: રૂપરેખાંકન પિન દ્વારા આંશિક પુનઃરૂપરેખાંકન (બાહ્ય હોસ્ટ) સંદર્ભ ડિઝાઇન: Intel Agilex F-Series FPGA ડેવલપમેન્ટ બોર્ડ માટે

ટોચના FAQ ના જવાબો:

  • Q રૂપરેખાંકન પિન દ્વારા PR શું છે?
  • A પૃષ્ઠ 3 પર બાહ્ય યજમાન રૂપરેખાંકન
  • Q આ સંદર્ભ ડિઝાઇન માટે મારે શું જોઈએ છે?
  • A પૃષ્ઠ 6 પર સંદર્ભ ડિઝાઇન આવશ્યકતાઓ
  • Q હું સંદર્ભ ડિઝાઇન ક્યાંથી મેળવી શકું?
  • A પૃષ્ઠ 6 પર સંદર્ભ ડિઝાઇન આવશ્યકતાઓ
  • Q હું બાહ્ય રૂપરેખાંકન દ્વારા PR કેવી રીતે કરી શકું?
  • A પૃષ્ઠ 6 પર સંદર્ભ ડિઝાઇન વૉકથ્રુ
  • Q PR વ્યક્તિત્વ શું છે?
  • A પૃષ્ઠ 11 પર વ્યક્તિઓની વ્યાખ્યા કરવી
  • Q હું બોર્ડને કેવી રીતે પ્રોગ્રામ કરી શકું?
  • A પૃષ્ઠ 17 પર બોર્ડને પ્રોગ્રામ કરો
  • Q PR જાણીતા મુદ્દાઓ અને મર્યાદાઓ શું છે?
  • A ઇન્ટેલ FPGA સપોર્ટ ફોરમ્સ: PR
  • Q શું તમારી પાસે PR પર તાલીમ છે?
  • A ઇન્ટેલ FPGA ટેકનિકલ તાલીમ કેટલોગ

ઓનલાઈન સંસ્કરણ પ્રતિસાદ મોકલો

  • ID: 750856
  • સંસ્કરણ: 2022.11.14

દસ્તાવેજો / સંસાધનો

ઇન્ટેલ 750856 એજિલેક્સ એફપીજીએ ડેવલપમેન્ટ બોર્ડ [પીડીએફ] વપરાશકર્તા માર્ગદર્શિકા
750856, 750857, 750856 Agilex FPGA વિકાસ બોર્ડ, Agilex FPGA વિકાસ બોર્ડ, FPGA વિકાસ બોર્ડ, વિકાસ બોર્ડ, બોર્ડ

સંદર્ભો

એક ટિપ્પણી મૂકો

તમારું ઇમેઇલ સરનામું પ્રકાશિત કરવામાં આવશે નહીં. જરૂરી ક્ષેત્રો ચિહ્નિત થયેલ છે *