intel ਲੋਗੋ

ਇੰਟੇਲ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਐਜੀਲੈਕਸ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample

ਇੰਟੇਲ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਐਜੀਲੈਕਸ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample

ਤੇਜ਼ ਸ਼ੁਰੂਆਤ ਗਾਈਡ

Intel Agilex™ ਲਈ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ Intel® FPGA IP ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਦੀ ਸਮਰੱਥਾ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।ampਚੁਣੀਆਂ ਗਈਆਂ ਸੰਰਚਨਾਵਾਂ ਲਈ les, ਜੋ ਤੁਹਾਨੂੰ ਇਹ ਕਰਨ ਦੀ ਇਜਾਜ਼ਤ ਦਿੰਦਾ ਹੈ:

  • IP ਖੇਤਰ ਦੀ ਵਰਤੋਂ ਅਤੇ ਸਮੇਂ ਦਾ ਅੰਦਾਜ਼ਾ ਪ੍ਰਾਪਤ ਕਰਨ ਲਈ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰੋ।
  • ਸਿਮੂਲੇਸ਼ਨ ਦੁਆਰਾ IP ਕਾਰਜਕੁਸ਼ਲਤਾ ਦੀ ਪੁਸ਼ਟੀ ਕਰਨ ਲਈ ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰੋ।
  • Intel Agilex I-Series Transceiver-SoC ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ ਹਾਰਡਵੇਅਰ 'ਤੇ ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰੋ।
  • ਜਦੋਂ ਤੁਸੀਂ ਇੱਕ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਦੇ ਹੋ ਸਾਬਕਾample, ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਆਟੋਮੈਟਿਕਲੀ ਬਣਾਉਂਦਾ ਹੈ fileਹਾਰਡਵੇਅਰ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ, ਕੰਪਾਇਲ ਅਤੇ ਜਾਂਚ ਕਰਨ ਲਈ ਜ਼ਰੂਰੀ ਹੈ।

ਨੋਟ ਕਰੋ: ਹਾਰਡਵੇਅਰ ਸਮਰਥਨ ਇਸ ਸਮੇਂ Intel Quartus® Prime Pro ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ ਸੰਸਕਰਣ 22.3 ਵਿੱਚ ਉਪਲਬਧ ਨਹੀਂ ਹੈ।

ਵਿਕਾਸ ਐੱਸtagਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ esampleਇੰਟੇਲ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਐਜੀਲੈਕਸ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 1

ਨੋਟ ਕਰੋ: ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਸੰਸਕਰਣ 22.3 ਵਿੱਚ, ਡਿਜ਼ਾਈਨ ਐਕਸ 'ਤੇ ਸਿਮੂਲੇਸ਼ਨ ਅਸਫਲਤਾ ਤੋਂ ਬਚਣ ਲਈ ਇੱਕ ਪੈਚ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ।ample. ਵਧੇਰੇ ਜਾਣਕਾਰੀ ਲਈ, KDB ਲਿੰਕ ਵੇਖੋ: ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਐਫਪੀਜੀਏ ਆਈਪੀ ਮਲਟੀਪੋਰਟ ਡਿਜ਼ਾਈਨ ਐਕਸ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਅਸਫਲ ਕਿਉਂ ਹੁੰਦਾ ਹੈample?.

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ Intel® FPGA IP ਮਲਟੀਪੋਰਟ ਡਿਜ਼ਾਈਨ ਐਕਸ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਅਸਫਲ ਕਿਉਂ ਹੁੰਦਾ ਹੈample?.

ਡਾਇਰੈਕਟਰੀ ਬਣਤਰ

ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample file ਡਾਇਰੈਕਟਰੀਆਂ ਵਿੱਚ ਹੇਠ ਲਿਖੀਆਂ ਤਿਆਰ ਕੀਤੀਆਂ ਹਨ file10/100/1000 ਮਲਟੀਪੋਰਟ ਈਥਰਨੈੱਟ MAC ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ sample 1000BASE-X/SGMII PCS ਅਤੇ ਏਮਬੇਡਡ PMA ਨਾਲ

  • ਹਾਰਡਵੇਅਰ ਸੰਰਚਨਾ ਅਤੇ ਟੈਸਟ files (ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample) ਵਿੱਚ ਸਥਿਤ ਹਨample_dir>/ਹਾਰਡਵੇਅਰ_ਟੈਸਟ_ਡਿਜ਼ਾਈਨ।
  • ਸਿਮੂਲੇਸ਼ਨ files (ਸਿਰਫ ਸਿਮੂਲੇਸ਼ਨ ਲਈ ਟੈਸਟਬੈਂਚ) ਵਿੱਚ ਸਥਿਤ ਹਨample_dir>/example_testbench.
  • ਸੰਕਲਨ-ਸਿਰਫ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵਿੱਚ ਸਥਿਤ ਹੈample_dir>/ compilation_test_design।
  • ਸੰਕਲਨ ਟੈਸਟ ਅਤੇ ਹਾਰਡਵੇਅਰ ਟੈਸਟ ਡਿਜ਼ਾਈਨ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹਨ fileਵਿੱਚ ਹੈample_dir>/ex_tse/common।

ਡਿਜ਼ਾਈਨ ਲਈ ਡਾਇਰੈਕਟਰੀ ਢਾਂਚਾ ਸਾਬਕਾampleਇੰਟੇਲ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਐਜੀਲੈਕਸ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 2

ਸਾਰਣੀ 1. ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਟੈਸਟਬੈਂਚ File ਵਰਣਨ

ਡਾਇਰੈਕਟਰੀ/File ਵਰਣਨ
ਟੈਸਟਬੈਂਚ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ Files
<design_example_dir>/example_testbench/ basic_avl_tb_top_mac_pcs.sv ਸਿਖਰ-ਪੱਧਰੀ ਟੈਸਟਬੈਂਚ file. ਟੈਸਟਬੈਂਚ ਡੀਯੂਟੀ ਨੂੰ ਤਤਕਾਲ ਬਣਾਉਂਦਾ ਹੈ ਅਤੇ ਪੈਕੇਟ ਬਣਾਉਣ ਅਤੇ ਸਵੀਕਾਰ ਕਰਨ ਲਈ ਵੇਰੀਲੌਗ ਐਚਡੀਐਲ ਕਾਰਜ ਚਲਾਉਂਦਾ ਹੈ।
ਟੈਸਟਬੈਂਚ ਸਕ੍ਰਿਪਟਾਂ
<design_example_dir>/example_testbench/ run_vsim_mac_pcs.sh ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ ਮਾਡਲਸਿਮ ਸਕ੍ਰਿਪਟ।
ਜਾਰੀ…
ਡਾਇਰੈਕਟਰੀ/File ਵਰਣਨ
<design_example_dir>/example_testbench/ run_vcs_mac_pcs.sh ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Synopsys* VCS ਸਕ੍ਰਿਪਟ।
<design_example_dir>/example_testbench/ run_vcsmx_mac_pcs.sh ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Synopsys VCS MX ਸਕ੍ਰਿਪਟ (VHDL ਨਾਲ ਵੇਰੀਲੋਗ HDL ਅਤੇ ਸਿਸਟਮ ਵੇਰੀਲੋਗ ਸੰਯੁਕਤ)
<design_example_dir>/example_testbench/ run_xcelium_mac_pcs.sh ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Xcelium* ਸਕ੍ਰਿਪਟ।

ਟੇਬਲ 2. ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample File ਵਰਣਨ

ਡਾਇਰੈਕਟਰੀ/File ਵਰਣਨ
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qpf Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ file.
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qsf Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਸੈਟਿੰਗਾਂ file.
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.sdc Synopsys ਡਿਜ਼ਾਈਨ ਪਾਬੰਦੀਆਂ fileਐੱਸ. ਤੁਸੀਂ ਇਹਨਾਂ ਨੂੰ ਕਾਪੀ ਅਤੇ ਸੋਧ ਸਕਦੇ ਹੋ files ਤੁਹਾਡੇ ਆਪਣੇ Intel Stratix® 10 ਡਿਜ਼ਾਈਨ ਲਈ।
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.v. ਉੱਚ-ਪੱਧਰੀ ਵੇਰੀਲੌਗ ਐਚਡੀਐਲ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample file.
<design_example_dir>/hardware_test_design/ common/ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਸਹਿਯੋਗ files.

ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨਾ ਐਕਸample

ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਦੀ ਪ੍ਰਕਿਰਿਆ ਐਕਸampleਇੰਟੇਲ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਐਜੀਲੈਕਸ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 3

Exampਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਵਿੱਚ ਡਿਜ਼ਾਇਨ ਟੈਬਇੰਟੇਲ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਐਜੀਲੈਕਸ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 4

ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਬਣਾਉਣ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋample ਅਤੇ testbench:

  • Intel Quartus Prime Pro Edition ਸਾਫਟਵੇਅਰ ਵਿੱਚ, ਕਲਿੱਕ ਕਰੋ File ➤ ਨਵਾਂ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣ ਲਈ ਨਵਾਂ ਪ੍ਰੋਜੈਕਟ ਵਿਜ਼ਾਰਡ, ਜਾਂ File ➤ ਇੱਕ ਮੌਜੂਦਾ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹਣ ਲਈ ਪ੍ਰੋਜੈਕਟ ਖੋਲ੍ਹੋ। ਵਿਜ਼ਾਰਡ ਤੁਹਾਨੂੰ ਇੱਕ ਡਿਵਾਈਸ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਪੁੱਛਦਾ ਹੈ।
  • Intel Agilex ਡਿਵਾਈਸ ਪਰਿਵਾਰ ਚੁਣੋ ਅਤੇ ਇੱਕ ਡਿਵਾਈਸ ਚੁਣੋ ਜਿਸ ਵਿੱਚ LVDS ਹੋਵੇ।
  • ਸਹਾਇਕ ਨੂੰ ਬੰਦ ਕਰਨ ਲਈ ਮੁਕੰਮਲ ਤੇ ਕਲਿਕ ਕਰੋ.
  • IP ਕੈਟਾਲਾਗ ਵਿੱਚ, ਲੱਭੋ ਅਤੇ ਇੰਟਰਫੇਸ ਪ੍ਰੋਟੋਕੋਲ ਦੀ ਚੋਣ ਕਰੋ ➤ ਈਥਰਨੈੱਟ ➤ 1G ਮਲਟੀਰੇਟ
  • ਈਥਰਨੈੱਟ ➤ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP। ਨਵੀਂ IP ਪਰਿਵਰਤਨ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
  • ਇੱਕ ਉੱਚ-ਪੱਧਰ ਦਾ ਨਾਮ ਦਿਓ ਤੁਹਾਡੀ ਕਸਟਮ IP ਪਰਿਵਰਤਨ ਲਈ। ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ IP ਪਰਿਵਰਤਨ ਸੈਟਿੰਗਾਂ ਨੂੰ ਏ ਵਿੱਚ ਸੁਰੱਖਿਅਤ ਕਰਦਾ ਹੈ file ਨਾਮ ਦਿੱਤਾ ਗਿਆ .ਆਈ.ਪੀ.
  • ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ. ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਦਿਖਾਈ ਦਿੰਦੇ ਹਨ।
  • ਇੱਕ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਲਈ ਸਾਬਕਾample, ਇੱਕ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੀ ਚੋਣ ਕਰੋampਪ੍ਰੀਸੈੱਟ ਲਾਇਬ੍ਰੇਰੀ ਤੋਂ ਪ੍ਰੀਸੈੱਟ ਕਰੋ ਅਤੇ ਲਾਗੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਜਦੋਂ ਤੁਸੀਂ ਇੱਕ ਡਿਜ਼ਾਈਨ ਦੀ ਚੋਣ ਕਰਦੇ ਹੋ, ਤਾਂ ਸਿਸਟਮ ਡਿਜ਼ਾਇਨ ਲਈ IP ਪੈਰਾਮੀਟਰਾਂ ਨੂੰ ਆਪਣੇ ਆਪ ਤਿਆਰ ਕਰਦਾ ਹੈ। ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਡਿਜ਼ਾਇਨ ਐਕਸ ਬਣਾਉਣ ਲਈ ਲੋੜੀਂਦੇ ਪੈਰਾਮੀਟਰਾਂ ਨੂੰ ਆਪਣੇ ਆਪ ਸੈੱਟ ਕਰਦਾ ਹੈample. IP ਟੈਬ ਵਿੱਚ ਪ੍ਰੀਸੈਟ ਪੈਰਾਮੀਟਰਾਂ ਨੂੰ ਨਾ ਬਦਲੋ।
  • ਸਾਬਕਾ ਲਈampਲੇ ਡਿਜ਼ਾਇਨ Files, ਟੈਸਟਬੈਂਚ ਬਣਾਉਣ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਵਿਕਲਪ, ਜਾਂ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਲਈ ਸਿੰਥੇਸਿਸ ਵਿਕਲਪ ਚੁਣੋ।ample.
  • ਨੋਟ: ਤੁਹਾਨੂੰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਬਣਾਉਣ ਲਈ ਘੱਟੋ-ਘੱਟ ਇੱਕ ਵਿਕਲਪ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈample.
  • ਸਾਬਕਾ 'ਤੇample ਡਿਜ਼ਾਈਨ ਟੈਬ, ਤਿਆਰ ਕੀਤੇ HDL ਫਾਰਮੈਟ ਦੇ ਤਹਿਤ, ਵੇਰੀਲੌਗ HDL ਜਾਂ VHDL ਚੁਣੋ।
  • ਟਾਰਗੇਟ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਦੇ ਤਹਿਤ, Agilex I-Series Transceiver-SoC ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ (AGIB027R31B1E2VR0) ਦੀ ਚੋਣ ਕਰੋ ਜਾਂ ਕੋਈ ਨਹੀਂ ਚੁਣੋ।
  • ਸਾਬਕਾ 'ਤੇ ਕਲਿੱਕ ਕਰੋample ਡਿਜ਼ਾਈਨ: "ਉਦਾਹਰਨample_design" ਬਟਨ। ਸਾਬਕਾ ਚੁਣੋample ਡਿਜ਼ਾਈਨ ਡਾਇਰੈਕਟਰੀ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
  • ਜੇਕਰ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਨੂੰ ਸੋਧਣਾ ਚਾਹੁੰਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾample ਡਾਇਰੈਕਟਰੀ ਮਾਰਗ ਜਾਂ ਡਿਸਪਲੇ ਕੀਤੇ ਡਿਫੌਲਟ ਤੋਂ ਨਾਮ (eth_tse_0_example_design), ਨਵੇਂ ਮਾਰਗ 'ਤੇ ਬ੍ਰਾਊਜ਼ ਕਰੋ ਅਤੇ ਨਵਾਂ ਡਿਜ਼ਾਈਨ ਐਕਸ ਟਾਈਪ ਕਰੋample ਡਾਇਰੈਕਟਰੀ ਦਾ ਨਾਮ (ample_dir>).
  • ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ.

ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਪੈਰਾਮੀਟਰ

ਸਾਬਕਾ ਵਿੱਚ ਮਾਪਦੰਡample ਡਿਜ਼ਾਈਨ ਟੈਬ

ਪੈਰਾਮੀਟਰ ਵਰਣਨ
ਡਿਜ਼ਾਈਨ ਚੁਣੋ ਉਪਲਬਧ ਸਾਬਕਾample IP ਪੈਰਾਮੀਟਰ ਸੈਟਿੰਗਾਂ ਲਈ ਡਿਜ਼ਾਈਨ ਕਰਦਾ ਹੈ।
Exampਲੇ ਡਿਜ਼ਾਇਨ Files ਦ files ਵੱਖ-ਵੱਖ ਵਿਕਾਸ ਪੜਾਅ ਲਈ ਪੈਦਾ ਕਰਨ ਲਈ.

• ਸਿਮੂਲੇਸ਼ਨ—ਲੋੜੀਂਦੀ ਬਣਾਉਂਦੀ ਹੈ fileਸਾਬਕਾ ਦੀ ਨਕਲ ਕਰਨ ਲਈ sample ਡਿਜ਼ਾਈਨ.

• ਸੰਸਲੇਸ਼ਣ—ਸਿੰਥੇਸਿਸ ਪੈਦਾ ਕਰਦਾ ਹੈ fileਐੱਸ. ਇਹਨਾਂ ਦੀ ਵਰਤੋਂ ਕਰੋ fileਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ ਲਈ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨਾ ਅਤੇ ਸਥਿਰ ਸਮੇਂ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰਨਾ ਹੈ।

ਪੈਦਾ ਕਰੋ File ਫਾਰਮੈਟ RTL ਦਾ ਫਾਰਮੈਟ fileਸਿਮੂਲੇਸ਼ਨ ਲਈ s—ਵੇਰੀਲੋਗ ਜਾਂ VHDL।
ਬੋਰਡ ਚੁਣੋ ਡਿਜ਼ਾਈਨ ਲਾਗੂ ਕਰਨ ਲਈ ਸਮਰਥਿਤ ਹਾਰਡਵੇਅਰ। ਜਦੋਂ ਤੁਸੀਂ ਇੱਕ Intel FPGA ਵਿਕਾਸ ਬੋਰਡ ਦੀ ਚੋਣ ਕਰਦੇ ਹੋ, ਤਾਂ ਟਾਰਗੇਟ ਡਿਵਾਈਸ ਉਹ ਹੈ ਜੋ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ 'ਤੇ ਡਿਵਾਈਸ ਨਾਲ ਮੇਲ ਖਾਂਦਾ ਹੈ।

ਜੇਕਰ ਇਹ ਮੀਨੂ ਉਪਲਬਧ ਨਹੀਂ ਹੈ, ਤਾਂ ਤੁਹਾਡੇ ਦੁਆਰਾ ਚੁਣੇ ਗਏ ਵਿਕਲਪਾਂ ਲਈ ਕੋਈ ਸਮਰਥਿਤ ਬੋਰਡ ਨਹੀਂ ਹੈ।

Agilex I-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-SoC ਵਿਕਾਸ ਕਿੱਟ: ਇਹ ਵਿਕਲਪ ਤੁਹਾਨੂੰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੀ ਜਾਂਚ ਕਰਨ ਦੀ ਇਜਾਜ਼ਤ ਦਿੰਦਾ ਹੈampਚੁਣੀ ਗਈ Intel FPGA IP ਵਿਕਾਸ ਕਿੱਟ 'ਤੇ le. ਇਹ ਵਿਕਲਪ ਆਪਣੇ ਆਪ ਚੁਣਦਾ ਹੈ ਟਾਰਗੇਟ ਡਿਵਾਈਸ Intel FPGA IP ਵਿਕਾਸ ਕਿੱਟ 'ਤੇ ਡਿਵਾਈਸ ਨਾਲ ਮੇਲ ਕਰਨ ਲਈ। ਜੇਕਰ ਤੁਹਾਡੇ ਬੋਰਡ ਸੰਸ਼ੋਧਨ ਵਿੱਚ ਇੱਕ ਵੱਖਰਾ ਡਿਵਾਈਸ ਗ੍ਰੇਡ ਹੈ, ਤਾਂ ਤੁਸੀਂ ਟਾਰਗੇਟ ਡਿਵਾਈਸ ਨੂੰ ਬਦਲ ਸਕਦੇ ਹੋ।

ਕੋਈ ਨਹੀਂ: ਇਹ ਵਿਕਲਪ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਹਾਰਡਵੇਅਰ ਪਹਿਲੂਆਂ ਨੂੰ ਸ਼ਾਮਲ ਨਹੀਂ ਕਰਦਾample.

ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਇੰਟੇਲ ਐਫਪੀਜੀਏ ਆਈਪੀ ਡਿਜ਼ਾਈਨ ਐਕਸ ਦੀ ਨਕਲ ਕਰਨਾampਲੇ ਟੈਸਟਬੈਂਚ

ਸਿਮੂਲੇਟ ਕਰਨ ਦੀ ਪ੍ਰਕਿਰਿਆ ਸਾਬਕਾampਲੇ ਟੈਸਟਬੈਂਚਇੰਟੇਲ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਐਜੀਲੈਕਸ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 5

ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  • ਟੈਸਟਬੈਂਚ ਸਿਮੂਲੇਸ਼ਨ ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ ਬਦਲੋample_dir>/ ਉਦਾਹਰਨample_testbench.
  • ਆਪਣੀ ਪਸੰਦ ਦੇ ਸਮਰਥਿਤ ਸਿਮੂਲੇਟਰ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਸਕ੍ਰਿਪਟ ਚਲਾਓ। ਸਕ੍ਰਿਪਟ ਸਿਮੂਲੇਟਰ ਵਿੱਚ ਟੈਸਟਬੈਂਚ ਨੂੰ ਕੰਪਾਇਲ ਕਰਦੀ ਹੈ ਅਤੇ ਚਲਾਉਂਦੀ ਹੈ। ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਟੇਬਲ ਸਟੈਪਸ ਵੇਖੋ।

ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਕਦਮ

ਸਿਮੂਲੇਟਰ ਹਦਾਇਤਾਂ
ਮਾਡਲਸਿਮ* ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ vsim -do run_vsim_mac_pcs.do. ਜੇਕਰ ਤੁਸੀਂ ModelSim GUI ਨੂੰ ਲਿਆਏ ਬਿਨਾਂ ਸਿਮੂਲੇਟ ਕਰਨਾ ਪਸੰਦ ਕਰਦੇ ਹੋ, ਤਾਂ ਟਾਈਪ ਕਰੋ vsim -c -do run_vsim_mac_pcs.do.
Synopsys VCS*/ VCS MX ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, sh run_vcs_mac_pcs.sh ਜਾਂ sh run_vcsmx_mac_pcs.sh ਟਾਈਪ ਕਰੋ।
ਐਕਸੇਲੀਅਮ ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ sh run_xcelium_mac_pcs.sh.
  • ਨਤੀਜਿਆਂ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰੋ। ਸਫਲ ਟੈਸਟਬੈਂਚ ਦਸ ਪੈਕੇਟ ਭੇਜਦਾ ਹੈ, ਇੱਕੋ ਜਿਹੇ ਪੈਕੇਟ ਪ੍ਰਾਪਤ ਕਰਦਾ ਹੈ, ਅਤੇ ਹੇਠਾਂ ਦਿੱਤੇ ਸੰਦੇਸ਼ ਨੂੰ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ

ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨਾ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨਾ Exampਹਾਰਡਵੇਅਰ ਵਿੱਚ le

ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ ਸਾਬਕਾample ਅਤੇ ਇਸਨੂੰ ਆਪਣੇ Intel Agilex ਡਿਵਾਈਸ 'ਤੇ ਕੌਂਫਿਗਰ ਕਰੋ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  • ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ ਯਕੀਨੀ ਬਣਾਓ ਸਾਬਕਾampਲੀ ਪੀੜ੍ਹੀ ਪੂਰੀ ਹੋ ਗਈ ਹੈ।
  • Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਵਿੱਚ, Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹੋample_dir>/hardware_test_design/ altera_eth_tse_hw.qpf.
  • ਪ੍ਰੋਸੈਸਿੰਗ ਮੀਨੂ 'ਤੇ, ਸੰਕਲਨ ਸ਼ੁਰੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  • ਇੱਕ ਸਫਲ ਸੰਕਲਨ ਤੋਂ ਬਾਅਦ, ਏ.ਐਸ.ਓ.ਐਫ file ਵਿੱਚ ਉਪਲਬਧ ਹੈample_dir>/hardwarde_test_design ਡਾਇਰੈਕਟਰੀ

10/100/1000 ਮਲਟੀਪੋਰਟ ਈਥਰਨੈੱਟ MAC ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample 1000BASE-X/SGMII PCS ਅਤੇ ਏਮਬੇਡਡ PMA ਨਾਲ

ਇਹ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ IP ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ Intel Agilex ਡਿਵਾਈਸਾਂ ਲਈ ਇੱਕ ਈਥਰਨੈੱਟ ਹੱਲ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਐਕਸ ਤੋਂ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰ ਸਕਦੇ ਹੋampਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ IP ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਦੀ ਡਿਜ਼ਾਈਨ ਟੈਬ। ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਲਈ ਸਾਬਕਾampਇਸ ਲਈ, ਤੁਹਾਨੂੰ ਪਹਿਲਾਂ ਉਸ IP ਪਰਿਵਰਤਨ ਲਈ ਪੈਰਾਮੀਟਰ ਮੁੱਲ ਸੈੱਟ ਕਰਨੇ ਚਾਹੀਦੇ ਹਨ ਜੋ ਤੁਸੀਂ ਆਪਣੇ ਅੰਤਮ ਉਤਪਾਦ ਵਿੱਚ ਬਣਾਉਣਾ ਚਾਹੁੰਦੇ ਹੋ। ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨਾ ਸਾਬਕਾample IP ਦੀ ਇੱਕ ਕਾਪੀ ਬਣਾਉਂਦਾ ਹੈ। ਟੈਸਟਬੈਂਚ ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਆਈਪੀ ਦੀ ਕਾਪੀ ਨੂੰ ਟੈਸਟ (DUT) ਅਧੀਨ ਡਿਵਾਈਸ ਦੇ ਤੌਰ 'ਤੇ ਵਰਤੋ। ਜੇਕਰ ਤੁਸੀਂ ਆਪਣੇ ਅੰਤਮ ਉਤਪਾਦ ਵਿੱਚ ਪੈਰਾਮੀਟਰ ਮੁੱਲਾਂ ਨਾਲ ਮੇਲ ਕਰਨ ਲਈ DUT ਲਈ ਪੈਰਾਮੀਟਰ ਮੁੱਲ ਸੈੱਟ ਨਹੀਂ ਕਰਦੇ ਹੋ, ਤਾਂ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਤੁਹਾਡੇ ਦੁਆਰਾ ਤਿਆਰ ਕੀਤਾ ਗਿਆ IP ਪਰਿਵਰਤਨ ਦਾ ਅਭਿਆਸ ਨਹੀਂ ਕਰਦਾ ਜੋ ਤੁਸੀਂ ਚਾਹੁੰਦੇ ਹੋ।

ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ

  • ਡਿਜ਼ਾਈਨ ਐਕਸampਮਲਟੀ-ਚੈਨਲ ਸ਼ੇਅਰਡ FIFO ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ LVDS I/O ਦੇ ਨਾਲ ਅੰਦਰੂਨੀ FIFO ਅਤੇ PCS ਤੋਂ ਬਿਨਾਂ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਮਲਟੀਪੋਰਟ ਈਥਰਨੈੱਟ MAC ਲਈ le।
  • ਟ੍ਰਾਂਸਮਿਟ ਮਾਰਗ 'ਤੇ ਟ੍ਰੈਫਿਕ ਬਣਾਉਂਦਾ ਹੈ ਅਤੇ ਟ੍ਰਾਂਸਸੀਵਰ LVDS I/O ਬਾਹਰੀ ਲੂਪਬੈਕ ਦੁਆਰਾ ਪ੍ਰਾਪਤ ਕੀਤੇ ਡੇਟਾ ਨੂੰ ਪ੍ਰਮਾਣਿਤ ਕਰਦਾ ਹੈ।
  • LVDS I/O ਰਾਹੀਂ Tx ਅਤੇ RX ਸੀਰੀਅਲ ਬਾਹਰੀ ਲੂਪਬੈਕ ਮੋਡ।
  • ਸਿਰਫ਼ ਬਾਹਰੀ ਲੂਪਬੈਕ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
  • ਸਿਰਫ਼ ਚਾਰ ਪੋਰਟਾਂ ਨੂੰ ਸਪੋਰਟ ਕਰਦਾ ਹੈ।

ਹਾਰਡਵੇਅਰ ਅਤੇ ਸਾਫਟਵੇਅਰ ਲੋੜਾਂ

  • ਇੰਟੇਲ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈ ਹੇਠਾਂ ਦਿੱਤੇ ਹਾਰਡਵੇਅਰ ਅਤੇ ਸੌਫਟਵੇਅਰ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈampਲੀਨਕਸ ਸਿਸਟਮ ਵਿੱਚ:
  • Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ
  • ModelSim, VCS, VCS MX, ਅਤੇ Xcelium ਸਿਮੂਲੇਟਰ

ਕਾਰਜਾਤਮਕ ਵਰਣਨਇੰਟੇਲ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਐਜੀਲੈਕਸ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 6

ਡਿਜ਼ਾਈਨ ਕੰਪੋਨੈਂਟਸ

ਕੰਪੋਨੈਂਟ ਵਰਣਨ
ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP (altera_eth_tse) ਨੂੰ ਹੇਠ ਲਿਖੀ ਸੰਰਚਨਾ ਨਾਲ ਤੁਰੰਤ ਬਣਾਇਆ ਗਿਆ ਹੈ:

• ਕੋਰ ਸੰਰਚਨਾਵਾਂ:

-   ਕੋਰ ਪਰਿਵਰਤਨ: 10BASE-X/SGMII PCS ਦੇ ਨਾਲ 100/1000/1000Mb ਈਥਰਨੈੱਟ MAC

-   ਅੰਦਰੂਨੀ FIFO ਦੀ ਵਰਤੋਂ ਕਰੋ: ਚੁਣਿਆ ਨਹੀਂ ਗਿਆ

-   ਪੋਰਟਾਂ ਦੀ ਗਿਣਤੀ: 4

-   ਟ੍ਰਾਂਸਸੀਵਰ ਦੀ ਕਿਸਮ: LVDS I/O

• MAC ਵਿਕਲਪ:

-   MAC 10/100 ਹਾਫ ਡੁਪਲੈਕਸ ਸਹਾਇਤਾ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਚੁਣਿਆ ਗਿਆ

-   MII/GMII 'ਤੇ ਸਥਾਨਕ ਲੂਪਬੈਕ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਚੁਣਿਆ ਗਿਆ

-   ਪੂਰਕ MAC ਯੂਨੀਕਾਸਟ ਪਤਿਆਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਚੁਣਿਆ ਨਹੀਂ ਗਿਆ

-   ਅੰਕੜੇ ਕਾਊਂਟਰ ਸ਼ਾਮਲ ਕਰੋ: ਚੁਣਿਆ ਗਿਆ

-   64-ਬਿੱਟ ਅੰਕੜੇ ਬਾਈਟ ਕਾਊਂਟਰਾਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਚੁਣਿਆ ਨਹੀਂ ਗਿਆ

-   ਮਲਟੀਕਾਸਟ ਹੈਸ਼ਟੇਬਲ ਸ਼ਾਮਲ ਕਰੋ: ਚੁਣਿਆ ਨਹੀਂ ਗਿਆ

-   ਪੈਕੇਟ ਸਿਰਲੇਖਾਂ ਨੂੰ 32-ਬਿੱਟ ਸੀਮਾ ਨਾਲ ਇਕਸਾਰ ਕਰੋ: ਚੁਣਿਆ ਨਹੀਂ ਗਿਆ

-   ਫੁੱਲ-ਡੁਪਲੈਕਸ ਵਹਾਅ ਨਿਯੰਤਰਣ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਚੁਣਿਆ ਗਿਆ

-   VLAN ਖੋਜ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਚੁਣਿਆ ਨਹੀਂ ਗਿਆ

-   ਮੈਜਿਕ ਪੈਕੇਟ ਖੋਜ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਚੁਣਿਆ ਗਿਆ

-   MDIO ਮੋਡੀਊਲ (MDC/MDIO) ਸ਼ਾਮਲ ਕਰੋ: ਚੁਣਿਆ ਗਿਆ

-   ਮੇਜ਼ਬਾਨ ਘੜੀ ਵਿਭਾਜਕ: 50

• ਟਾਈਮਸਟamp ਵਿਕਲਪ:

-   ਟਾਈਮਸਟ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓamping: ਚੁਣਿਆ ਨਹੀਂ ਗਿਆ

• PCS/ਟ੍ਰਾਂਸੀਵਰ ਵਿਕਲਪ:

-   SGMII ਬ੍ਰਿਜ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਚੁਣਿਆ ਗਿਆ

ਕਲਾਇੰਟ ਤਰਕ IP ਦੁਆਰਾ ਭੇਜੇ ਜਾਂ ਪ੍ਰਾਪਤ ਕੀਤੇ ਪੈਕੇਟਾਂ ਨੂੰ ਤਿਆਰ ਅਤੇ ਨਿਗਰਾਨੀ ਕਰਦਾ ਹੈ।
ਈਥਰਨੈੱਟ ਟ੍ਰੈਫਿਕ ਕੰਟਰੋਲਰ Avalon® ਮੈਮੋਰੀ-ਮੈਪਡ ਇੰਟਰਫੇਸ ਦੁਆਰਾ ਨਿਯੰਤਰਿਤ।
JTAG Avalon ਮੈਮੋਰੀ-ਮੈਪਡ ਇੰਟਰਫੇਸ ਐਡਰੈੱਸ ਡੀਕੋਡਰ ਲਈ ਜੇ ਨੂੰ ਬਦਲੋTAG Avalon ਮੈਮੋਰੀ-ਮੈਪਡ ਇੰਟਰਫੇਸ ਲਈ ਸਿਗਨਲ।

ਘੜੀ ਅਤੇ ਰੀਸੈਟ ਸਿਗਨਲ

ਸਿਗਨਲ ਦਿਸ਼ਾ ਚੌੜਾਈ ਵਰਣਨ
ref_clk ਇੰਪੁੱਟ 1 ਡਰਾਈਵ ਰਜਿਸਟਰ ਐਕਸੈਸ ਰੈਫਰੈਂਸ ਕਲਾਕ ਅਤੇ MAC FIFO ਸਥਿਤੀ ਇੰਟਰਫੇਸ ਘੜੀ। ਘੜੀ ਨੂੰ 100 MHz 'ਤੇ ਸੈੱਟ ਕਰੋ।
iopll_refclk ਇੰਪੁੱਟ 1 125 Gbps ਸੀਰੀਅਲ LVDS I/O ਇੰਟਰਫੇਸ ਲਈ 1.25 MHz ਹਵਾਲਾ ਘੜੀ।

ਸਿਮੂਲੇਸ਼ਨ

ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟ ਕੇਸ ਹੇਠਾਂ ਦਿੱਤੇ ਕਦਮਾਂ ਨੂੰ ਪੂਰਾ ਕਰਦਾ ਹੈ:

  • ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਨੂੰ ਸ਼ੁਰੂ ਕਰਦਾ ਹੈample 1G ਦੀ ਓਪਰੇਟਿੰਗ ਸਪੀਡ ਨਾਲ.
  • ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ MAC ਅਤੇ PCS ਰਜਿਸਟਰਾਂ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰਦਾ ਹੈ।
  • ਮਾਪ ਵੈਧ ਸਿਗਨਲ ਦਾ ਦਾਅਵਾ ਹੋਣ ਤੱਕ ਉਡੀਕ ਕਰਦਾ ਹੈ।
  • ਪੋਰਟ 0 'ਤੇ ਗੈਰ-ਪੀਟੀਪੀ ਪੈਕੇਟ ਭੇਜਦਾ ਹੈ।
  • MAC RX ਪੋਰਟ 0 ਪ੍ਰਾਪਤ ਕੀਤੇ ਪੈਕੇਟਾਂ ਨੂੰ MAC TX ਪੋਰਟ 1 ਨੂੰ ਭੇਜਦਾ ਹੈ।

ਟੈਸਟਬੈਂਚ

ਡਿਜ਼ਾਈਨ ਦਾ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮ ਸਾਬਕਾample ਮਲਟੀਪੋਰਟ 10/100/1000Mb ਈਥਰਨੈੱਟ MAC 1000BASE-X/SGMII PCS ਨਾਲ LVDS I/O ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟਬੈਂਚ ਨਾਲਇੰਟੇਲ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਐਜੀਲੈਕਸ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 7

VCS ਸਿਮੂਲੇਟਰ ਦਾ ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟ ਨਤੀਜਾਇੰਟੇਲ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਐਜੀਲੈਕਸ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 8 ਇੰਟੇਲ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਐਜੀਲੈਕਸ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 9

ਟ੍ਰਿਪਲ-ਸਪੀਡ ਲਈ ਦਸਤਾਵੇਜ਼ ਸੰਸ਼ੋਧਨ ਇਤਿਹਾਸ ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP Intel Agilex Design Exampਲੇ ਯੂਜ਼ਰ ਗਾਈਡ

ਦਸਤਾਵੇਜ਼ ਸੰਸਕਰਣ Intel Quartus Prime ਸੰਸਕਰਣ IP ਸੰਸਕਰਣ ਤਬਦੀਲੀਆਂ
2022.12.09 22.3 21.1.0 ਸ਼ੁਰੂਆਤੀ ਰੀਲੀਜ਼।

ਦਸਤਾਵੇਜ਼ / ਸਰੋਤ

ਇੰਟੇਲ ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ ਐਜੀਲੈਕਸ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample [pdf] ਯੂਜ਼ਰ ਗਾਈਡ
ਟ੍ਰਿਪਲ-ਸਪੀਡ ਈਥਰਨੈੱਟ Agilex FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample, ਟ੍ਰਿਪਲ-ਸਪੀਡ, ਈਥਰਨੈੱਟ Agilex FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample, IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample

ਹਵਾਲੇ

ਇੱਕ ਟਿੱਪਣੀ ਛੱਡੋ

ਤੁਹਾਡਾ ਈਮੇਲ ਪਤਾ ਪ੍ਰਕਾਸ਼ਿਤ ਨਹੀਂ ਕੀਤਾ ਜਾਵੇਗਾ। ਲੋੜੀਂਦੇ ਖੇਤਰਾਂ ਨੂੰ ਚਿੰਨ੍ਹਿਤ ਕੀਤਾ ਗਿਆ ਹੈ *