ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample

ਤੇਜ਼ ਸ਼ੁਰੂਆਤ ਗਾਈਡ
ਲੋਅ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ Intel® FPGA IP ਕੋਰ ਇੱਕ ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟਬੈਂਚ ਅਤੇ ਇੱਕ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈample ਜੋ ਕੰਪਾਇਲੇਸ਼ਨ ਅਤੇ ਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾample, Intel Quartus® Prime IP ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਆਟੋਮੈਟਿਕਲੀ ਬਣਾਉਂਦਾ ਹੈ fileਹਾਰਡਵੇਅਰ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ, ਕੰਪਾਇਲ ਅਤੇ ਜਾਂਚ ਕਰਨ ਲਈ ਜ਼ਰੂਰੀ ਹੈ। ਇਸ ਤੋਂ ਇਲਾਵਾ, ਤੁਸੀਂ ਇੰਟਰਓਪਰੇਟਿਵ ਟੈਸਟਿੰਗ ਲਈ ਇੰਟੇਲ ਡਿਵਾਈਸ-ਵਿਸ਼ੇਸ਼ ਵਿਕਾਸ ਕਿੱਟ ਲਈ ਕੰਪਾਇਲ ਕੀਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ ਡਾਊਨਲੋਡ ਕਰ ਸਕਦੇ ਹੋ। Intel FPGA IP ਵਿੱਚ ਇੱਕ ਸੰਕਲਨ-ਸਿਰਫ ਸਾਬਕਾ ਵੀ ਸ਼ਾਮਲ ਹੈample ਪ੍ਰੋਜੈਕਟ ਜਿਸਦੀ ਵਰਤੋਂ ਤੁਸੀਂ IP ਕੋਰ ਖੇਤਰ ਅਤੇ ਸਮੇਂ ਦਾ ਤੇਜ਼ੀ ਨਾਲ ਅਨੁਮਾਨ ਲਗਾਉਣ ਲਈ ਕਰ ਸਕਦੇ ਹੋ. ਲੋਅ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਡਿਜ਼ਾਈਨ ਐਕਸ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈampਪੈਰਾਮੀਟਰਾਂ ਦੀ ਇੱਕ ਵਿਸ਼ਾਲ ਸ਼੍ਰੇਣੀ ਦੇ ਨਾਲ le ਪੀੜ੍ਹੀ। ਹਾਲਾਂਕਿ, ਡਿਜ਼ਾਈਨ ਸਾਬਕਾamples ਘੱਟ ਲੇਟੈਂਸੀ E-Tile 40G ਈਥਰਨੈੱਟ Intel FPGA IP ਕੋਰ ਦੇ ਸਾਰੇ ਸੰਭਵ ਮਾਪਦੰਡਾਂ ਨੂੰ ਕਵਰ ਨਹੀਂ ਕਰਦਾ ਹੈ।
ਡਿਜ਼ਾਈਨ ਲਈ ਵਿਕਾਸ ਦੇ ਪੜਾਅ ਸਾਬਕਾample

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
- ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਉਪਭੋਗਤਾ ਗਾਈਡ
ਲੋ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਆਈ.ਪੀ. 'ਤੇ ਵਿਸਤ੍ਰਿਤ ਜਾਣਕਾਰੀ ਲਈ। - ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਰੀਲੀਜ਼ ਨੋਟਸ
IP ਰੀਲੀਜ਼ ਨੋਟਸ ਇੱਕ ਖਾਸ ਰੀਲੀਜ਼ ਵਿੱਚ IP ਤਬਦੀਲੀਆਂ ਦੀ ਸੂਚੀ ਹੈ।
ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨਾ ਐਕਸample
ਵਿਧੀ

ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੀ ਕਾਰਗੁਜ਼ਾਰੀ ਦੀ ਵਾਰੰਟੀ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੇ ਅਨੁਸਾਰ ਕਰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਐਪਲੀਕੇਸ਼ਨ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ ਕਿ ਉਹ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ। ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
Exampਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਟੈਬ
ਡਿਜ਼ਾਈਨ ਐਕਸ ਤਿਆਰ ਕਰਨ ਲਈ ਸਟ੍ਰੈਟਿਕਸ 10 TX ਈ-ਟਾਈਲ ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਦੀ ਚੋਣ ਕਰੋampIntel Stratix® 10 ਡਿਵਾਈਸਾਂ ਲਈ le. ਡਿਜ਼ਾਈਨ ਐਕਸ ਤਿਆਰ ਕਰਨ ਲਈ Agilex F-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-SoC ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਚੁਣੋampLe Intel Agilex™ ਡਿਵਾਈਸਾਂ ਲਈ।

ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਬਣਾਉਣ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋample ਅਤੇ testbench:
- Intel Quartus Prime Pro Edition ਸਾਫਟਵੇਅਰ ਵਿੱਚ, ਕਲਿੱਕ ਕਰੋ File ➤ ਨਵਾਂ ਪ੍ਰੋਜੈਕਟ ਸਹਾਇਕ
ਇੱਕ ਨਵਾਂ Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣ ਲਈ, ਜਾਂ File ➤ ਇੱਕ ਮੌਜੂਦਾ Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹਣ ਲਈ ਓਪਨ ਪ੍ਰੋਜੈਕਟ। ਵਿਜ਼ਾਰਡ ਤੁਹਾਨੂੰ ਡਿਵਾਈਸ ਪਰਿਵਾਰ ਅਤੇ ਡਿਵਾਈਸ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਪੁੱਛਦਾ ਹੈ।
ਨੋਟ ਕਰੋ: ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਟੀਚੇ ਦੇ ਬੋਰਡ 'ਤੇ ਡਿਵਾਈਸ ਨਾਲ ਚੋਣ ਨੂੰ ਓਵਰਰਾਈਟ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੇ ਮੇਨੂ ਤੋਂ ਟੀਚਾ ਬੋਰਡ ਨਿਸ਼ਚਿਤ ਕਰਦੇ ਹੋampਸਾਬਕਾ ਵਿੱਚ le ਵਿਕਲਪample ਡਿਜ਼ਾਈਨ ਟੈਬ (ਕਦਮ 8)। - IP ਕੈਟਾਲਾਗ ਵਿੱਚ, ਲੋ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਲੱਭੋ ਅਤੇ ਚੁਣੋ। ਨਵੀਂ IP ਪਰਿਵਰਤਨ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
- ਆਪਣੇ ਕਸਟਮ IP ਪਰਿਵਰਤਨ ਲਈ ਇੱਕ ਉੱਚ-ਪੱਧਰ ਦਾ ਨਾਮ ਦਿਓ। Intel Quartus Prime IP ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ IP ਪਰਿਵਰਤਨ ਸੈਟਿੰਗਾਂ ਨੂੰ ਏ file ਨਾਮ ਦਿੱਤਾ ਗਿਆ .ਆਈ.ਪੀ.
- ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ. IP ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਦਿਸਦਾ ਹੈ।
- IP ਟੈਬ 'ਤੇ, ਆਪਣੇ IP ਕੋਰ ਪਰਿਵਰਤਨ ਲਈ ਮਾਪਦੰਡ ਨਿਰਧਾਰਤ ਕਰੋ।
ਨੋਟ ਕਰੋ: ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਸਹੀ ਢੰਗ ਨਾਲ ਨਕਲ ਨਹੀਂ ਕਰਦਾ ਹੈ ਅਤੇ ਸਹੀ ਢੰਗ ਨਾਲ ਕੰਮ ਨਹੀਂ ਕਰਦਾ ਹੈ ਜੇਕਰ ਤੁਸੀਂ ਹੇਠਾਂ ਦਿੱਤੇ ਮਾਪਦੰਡਾਂ ਵਿੱਚੋਂ ਕੋਈ ਵੀ ਨਿਰਧਾਰਤ ਕਰਦੇ ਹੋ:- ਪ੍ਰਸਤਾਵਨਾ ਪਾਸ-ਥਰੂ ਚਾਲੂ ਕਰੋ
- ਤਿਆਰ ਲੇਟੈਂਸੀ 3 ਦੇ ਮੁੱਲ 'ਤੇ ਸੈੱਟ ਕੀਤੀ ਗਈ
- TX CRC ਸੰਮਿਲਨ ਨੂੰ ਚਾਲੂ ਕਰੋ ਬੰਦ
- ਸਾਬਕਾ 'ਤੇample ਡਿਜ਼ਾਈਨ ਟੈਬ, ਸਾਬਕਾ ਦੇ ਅਧੀਨampਲੇ ਡਿਜ਼ਾਇਨ Files, ਟੈਸਟਬੈਂਚ ਬਣਾਉਣ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ, ਅਤੇ ਸੰਕਲਨ-ਸਿਰਫ ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਲਈ ਸਿੰਥੇਸਿਸ ਵਿਕਲਪ ਦੀ ਚੋਣ ਕਰੋ।amples.
ਨੋਟ ਕਰੋ: ਸਾਬਕਾ 'ਤੇample ਡਿਜ਼ਾਈਨ ਟੈਬ, ਜਨਰੇਟਿਡ ਐਚਡੀਐਲ ਫਾਰਮੈਟ ਦੇ ਤਹਿਤ, ਸਿਰਫ ਵੇਰੀਲੌਗ ਐਚਡੀਐਲ ਉਪਲਬਧ ਹੈ। ਇਹ IP ਕੋਰ VHDL ਦਾ ਸਮਰਥਨ ਨਹੀਂ ਕਰਦਾ ਹੈ। - ਟਾਰਗੇਟ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਦੇ ਤਹਿਤ ਸਟ੍ਰੈਟਿਕਸ 10 TX ਈ-ਟਾਈਲ ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਜਾਂ ਐਜੀਲੈਕਸ ਐੱਫ-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-ਐਸਓਸੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਦੀ ਚੋਣ ਕਰੋ।
ਨੋਟ ਕਰੋ: ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਜੋ ਤੁਸੀਂ ਚੁਣੀ ਹੈ, ਉਹ ਕਦਮ ਵਿੱਚ ਡਿਵਾਈਸ ਚੋਣ ਨੂੰ ਓਵਰਰਾਈਟ ਕਰਦੀ ਹੈ- Intel Stratix 10 E-ਟਾਈਲ ਟਾਰਗੇਟ ਡਿਵਾਈਸ 1SG280LU3F50E3VGS1 ਹੈ।
- Intel Agilex E-ਟਾਈਲ ਡਿਵਾਈਸ ਦਾ ਟੀਚਾ AGFB014R24A2E2VR0 ਹੈ।
- ਜਨਰੇਟ ਐਕਸ 'ਤੇ ਕਲਿੱਕ ਕਰੋample ਡਿਜ਼ਾਈਨ ਬਟਨ. ਸਾਬਕਾ ਚੁਣੋample ਡਿਜ਼ਾਈਨ ਡਾਇਰੈਕਟਰੀ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
- ਜੇਕਰ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਨੂੰ ਸੋਧਣਾ ਚਾਹੁੰਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾample ਡਾਇਰੈਕਟਰੀ ਮਾਰਗ ਜਾਂ ਡਿਸਪਲੇ ਕੀਤੇ ਡਿਫੌਲਟ ਤੋਂ ਨਾਮ (alt_e40c3_0_example_design), ਨਵੇਂ ਮਾਰਗ 'ਤੇ ਬ੍ਰਾਊਜ਼ ਕਰੋ ਅਤੇ ਨਵਾਂ ਡਿਜ਼ਾਈਨ ਐਕਸ ਟਾਈਪ ਕਰੋample ਡਾਇਰੈਕਟਰੀ ਦਾ ਨਾਮ (ample_dir>).
- ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ.
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
- IP ਕੋਰ ਪੈਰਾਮੀਟਰ
ਤੁਹਾਡੇ IP ਕੋਰ ਨੂੰ ਅਨੁਕੂਲਿਤ ਕਰਨ ਬਾਰੇ ਹੋਰ ਜਾਣਕਾਰੀ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ। - Intel Stratix 10 E-Tile TX ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ
- Intel Agilex F-ਸੀਰੀਜ਼ FPGA ਵਿਕਾਸ ਕਿੱਟ
ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਪੈਰਾਮੀਟਰ
ਸਾਬਕਾ ਵਿੱਚ ਮਾਪਦੰਡample ਡਿਜ਼ਾਈਨ ਟੈਬ
| ਪੈਰਾਮੀਟਰ | ਵਰਣਨ |
| ਡਿਜ਼ਾਈਨ ਚੁਣੋ | ਉਪਲਬਧ ਸਾਬਕਾample IP ਪੈਰਾਮੀਟਰ ਸੈਟਿੰਗਾਂ ਲਈ ਡਿਜ਼ਾਈਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਪ੍ਰੀਸੈਟ ਲਾਇਬ੍ਰੇਰੀ ਤੋਂ ਇੱਕ ਡਿਜ਼ਾਈਨ ਚੁਣਦੇ ਹੋ, ਤਾਂ ਇਹ ਖੇਤਰ ਚੁਣਿਆ ਗਿਆ ਡਿਜ਼ਾਈਨ ਦਿਖਾਉਂਦਾ ਹੈ। |
| Exampਲੇ ਡਿਜ਼ਾਇਨ Files | ਦ files ਵੱਖ-ਵੱਖ ਵਿਕਾਸ ਪੜਾਅ ਲਈ ਪੈਦਾ ਕਰਨ ਲਈ.
• ਸਿਮੂਲੇਸ਼ਨ-ਲੋੜੀਂਦਾ ਪੈਦਾ ਕਰਦਾ ਹੈ fileਸਾਬਕਾ ਦੀ ਨਕਲ ਕਰਨ ਲਈ sample ਡਿਜ਼ਾਈਨ. • ਸੰਸਲੇਸ਼ਣ- ਸੰਸਲੇਸ਼ਣ ਪੈਦਾ ਕਰਦਾ ਹੈ fileਐੱਸ. ਇਹਨਾਂ ਦੀ ਵਰਤੋਂ ਕਰੋ fileਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ ਲਈ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨਾ ਅਤੇ ਸਥਿਰ ਸਮੇਂ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰਨਾ ਹੈ। |
| ਪੈਦਾ ਕਰੋ File ਫਾਰਮੈਟ | RTL ਦਾ ਫਾਰਮੈਟ fileਸਿਮੂਲੇਸ਼ਨ ਲਈ s—ਵੇਰੀਲੋਗ ਜਾਂ VHDL। |
| ਬੋਰਡ ਚੁਣੋ | ਡਿਜ਼ਾਈਨ ਲਾਗੂ ਕਰਨ ਲਈ ਸਮਰਥਿਤ ਹਾਰਡਵੇਅਰ। ਜਦੋਂ ਤੁਸੀਂ ਇੱਕ Intel ਵਿਕਾਸ ਬੋਰਡ ਦੀ ਚੋਣ ਕਰਦੇ ਹੋ, ਤਾਂ ਟਾਰਗੇਟ ਡਿਵਾਈਸ ਉਹ ਹੈ ਜੋ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ 'ਤੇ ਡਿਵਾਈਸ ਨਾਲ ਮੇਲ ਖਾਂਦਾ ਹੈ।
ਜੇਕਰ ਇਹ ਮੀਨੂ ਉਪਲਬਧ ਨਹੀਂ ਹੈ, ਤਾਂ ਤੁਹਾਡੇ ਦੁਆਰਾ ਚੁਣੇ ਗਏ ਵਿਕਲਪਾਂ ਲਈ ਕੋਈ ਸਮਰਥਿਤ ਬੋਰਡ ਨਹੀਂ ਹੈ। Agilex F-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-SoC ਵਿਕਾਸ ਕਿੱਟ: ਇਹ ਵਿਕਲਪ ਤੁਹਾਨੂੰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੀ ਜਾਂਚ ਕਰਨ ਦੀ ਇਜਾਜ਼ਤ ਦਿੰਦਾ ਹੈampਚੁਣੀ ਗਈ Intel FPGA IP ਵਿਕਾਸ ਕਿੱਟ 'ਤੇ le. ਇਹ ਵਿਕਲਪ ਆਪਣੇ ਆਪ ਚੁਣਦਾ ਹੈ ਟਾਰਗੇਟ ਡਿਵਾਈਸ AGFB014R24A2E2VR0 ਦਾ। ਜੇਕਰ ਤੁਹਾਡੇ ਬੋਰਡ ਸੰਸ਼ੋਧਨ ਵਿੱਚ ਇੱਕ ਵੱਖਰਾ ਡਿਵਾਈਸ ਗ੍ਰੇਡ ਹੈ, ਤਾਂ ਤੁਸੀਂ ਟਾਰਗੇਟ ਡਿਵਾਈਸ ਨੂੰ ਬਦਲ ਸਕਦੇ ਹੋ। |
| ਜਾਰੀ… | |
| ਪੈਰਾਮੀਟਰ | ਵਰਣਨ |
| ਸਟ੍ਰੈਟਿਕਸ 10 TX ਈ-ਟਾਈਲ ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ: ਇਹ ਵਿਕਲਪ ਤੁਹਾਨੂੰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੀ ਜਾਂਚ ਕਰਨ ਦੀ ਇਜਾਜ਼ਤ ਦਿੰਦਾ ਹੈampਚੁਣੀ ਗਈ Intel FPGA IP ਵਿਕਾਸ ਕਿੱਟ 'ਤੇ le. ਇਹ ਵਿਕਲਪ ਆਪਣੇ ਆਪ ਚੁਣਦਾ ਹੈ ਟਾਰਗੇਟ ਡਿਵਾਈਸ 1ST280EY2F55E2VG ਦਾ। ਜੇਕਰ ਤੁਹਾਡੇ ਬੋਰਡ ਸੰਸ਼ੋਧਨ ਵਿੱਚ ਇੱਕ ਵੱਖਰਾ ਡਿਵਾਈਸ ਗ੍ਰੇਡ ਹੈ, ਤਾਂ ਤੁਸੀਂ ਟਾਰਗੇਟ ਡਿਵਾਈਸ ਨੂੰ ਬਦਲ ਸਕਦੇ ਹੋ।
ਕੋਈ ਨਹੀਂ: ਇਹ ਵਿਕਲਪ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਹਾਰਡਵੇਅਰ ਪਹਿਲੂਆਂ ਨੂੰ ਸ਼ਾਮਲ ਨਹੀਂ ਕਰਦਾample. |
ਡਾਇਰੈਕਟਰੀ ਬਣਤਰ
ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ IP ਕੋਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample file ਡਾਇਰੈਕਟਰੀਆਂ ਵਿੱਚ ਹੇਠ ਲਿਖੀਆਂ ਤਿਆਰ ਕੀਤੀਆਂ ਹਨ fileਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ sample.
ਤਿਆਰ ਕੀਤੇ ਡਿਜ਼ਾਈਨ ਲਈ ਡਾਇਰੈਕਟਰੀ ਢਾਂਚਾ ਸਾਬਕਾample

- ਸਿਮੂਲੇਸ਼ਨ files (ਸਿਰਫ ਸਿਮੂਲੇਸ਼ਨ ਲਈ ਟੈਸਟਬੈਂਚ) ਵਿੱਚ ਸਥਿਤ ਹਨample_dir>/example_testbench.
- ਸੰਕਲਨ-ਸਿਰਫ ਸਾਬਕਾample ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈample_dir>/ compilation_test_design।
- ਹਾਰਡਵੇਅਰ ਸੰਰਚਨਾ ਅਤੇ ਟੈਸਟ files (ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample) ਵਿੱਚ ਸਥਿਤ ਹਨample_dir>/ਹਾਰਡਵੇਅਰ_ਟੈਸਟ_ਡਿਜ਼ਾਈਨ
ਡਾਇਰੈਕਟਰੀ ਅਤੇ File ਵਰਣਨ
| File ਨਾਮ | ਵਰਣਨ |
| eth_ex_40g.qpf | Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ file. |
| eth_ex_40g.qsf | Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਸੈਟਿੰਗਾਂ file. |
| ਜਾਰੀ… | |
| File ਨਾਮ | ਵਰਣਨ |
| eth_ex_40g.sdc | Synopsys* ਡਿਜ਼ਾਈਨ ਪਾਬੰਦੀਆਂ file. ਤੁਸੀਂ ਇਸਨੂੰ ਕਾਪੀ ਅਤੇ ਸੋਧ ਸਕਦੇ ਹੋ file ਤੁਹਾਡੀ ਆਪਣੀ ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਡਿਜ਼ਾਈਨ ਲਈ। |
| eth_ex_40g.srf | ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਸੁਨੇਹਾ ਦਮਨ ਨਿਯਮ file. |
| eth_ex_40g.v | ਉੱਚ-ਪੱਧਰੀ ਵੇਰੀਲੌਗ ਐਚਡੀਐਲ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample file. |
| eth_ex_40g_clock.sdc | Synopsys ਡਿਜ਼ਾਈਨ ਪਾਬੰਦੀਆਂ file ਘੜੀਆਂ ਲਈ. |
| ਆਮ/ | ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਸਹਿਯੋਗ files. |
| hwtest/main.tcl | ਮੁੱਖ file ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਐਕਸੈਸ ਕਰਨ ਲਈ। |
ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨਾ ਸਾਬਕਾampਲੇ ਟੈਸਟਬੈਂਚ
ਤੁਸੀਂ ਕਮਾਂਡ ਪ੍ਰੋਂਪਟ ਤੋਂ ਸਿਮੂਲੇਸ਼ਨ ਸਕ੍ਰਿਪਟ ਚਲਾ ਕੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਅਤੇ ਸਿਮੂਲੇਟ ਕਰ ਸਕਦੇ ਹੋ।

- ਕਮਾਂਡ ਪ੍ਰੋਂਪਟ ਤੇ, ਵਰਕਿੰਗ ਡਾਇਰੈਕਟਰੀ ਨੂੰ ਇਸ ਵਿੱਚ ਬਦਲੋample_dir>/example_testbench.
- ਆਪਣੀ ਪਸੰਦ ਦੇ ਸਮਰਥਿਤ ਸਿਮੂਲੇਟਰ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਸਕ੍ਰਿਪਟ ਚਲਾਓ। ਸਕ੍ਰਿਪਟ ਸਿਮੂਲੇਟਰ ਵਿੱਚ ਟੈਸਟਬੈਂਚ ਨੂੰ ਕੰਪਾਇਲ ਕਰਦੀ ਹੈ ਅਤੇ ਚਲਾਉਂਦੀ ਹੈ
ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਨਿਰਦੇਸ਼
| ਸਿਮੂਲੇਟਰ | ਹਦਾਇਤਾਂ |
| ਮਾਡਲਸਿਮ* | ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ vsim -do run_vsim.do.
ਜੇਕਰ ਤੁਸੀਂ ModelSim GUI ਨੂੰ ਲਿਆਏ ਬਿਨਾਂ ਸਿਮੂਲੇਟ ਕਰਨਾ ਪਸੰਦ ਕਰਦੇ ਹੋ, ਤਾਂ ਟਾਈਪ ਕਰੋ vsim -c -do run_vsim.do. ਨੋਟ: ModelSim-AE ਅਤੇ ModelSim-ASE ਸਿਮੂਲੇਟਰ ਇਸ IP ਕੋਰ ਦੀ ਨਕਲ ਨਹੀਂ ਕਰ ਸਕਦੇ ਹਨ। ਤੁਹਾਨੂੰ ਇੱਕ ਹੋਰ ਸਮਰਥਿਤ ModelSim ਸਿਮੂਲੇਟਰ ਜਿਵੇਂ ਕਿ ModelSim SE ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ। |
| VCS* | ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ sh run_vcs.sh |
| VCS MX | ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ sh run_vcsmx.sh.
ਇਸ ਸਕ੍ਰਿਪਟ ਦੀ ਵਰਤੋਂ ਕਰੋ ਜਦੋਂ ਡਿਜ਼ਾਈਨ ਵਿੱਚ VHDL ਨਾਲ Verilog HDL ਅਤੇ ਸਿਸਟਮ Verilog ਸ਼ਾਮਲ ਹੋਵੇ। |
| NCSim | ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ sh run_ncsim.sh |
| ਐਕਸੇਲੀਅਮ* | ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ sh run_xcelium.sh |
ਇੱਕ ਸਫਲ ਸਿਮੂਲੇਸ਼ਨ ਹੇਠਾਂ ਦਿੱਤੇ ਸੰਦੇਸ਼ ਨਾਲ ਖਤਮ ਹੁੰਦਾ ਹੈ: ਸਿਮੂਲੇਸ਼ਨ ਪਾਸ ਕੀਤਾ ਗਿਆ। ਜਾਂ ਟੈਸਟਬੈਂਚ ਪੂਰਾ। ਸਫਲਤਾਪੂਰਵਕ ਮੁਕੰਮਲ ਹੋਣ ਤੋਂ ਬਾਅਦ, ਤੁਸੀਂ ਨਤੀਜਿਆਂ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰ ਸਕਦੇ ਹੋ.
ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨਾ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨਾ Exampਹਾਰਡਵੇਅਰ ਵਿੱਚ le
Intel FPGA IP ਕੋਰ ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਤੁਹਾਨੂੰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਕੰਪਾਇਲ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨ ਦੀ ਇਜਾਜ਼ਤ ਦਿੰਦਾ ਹੈampਟੀਚਾ ਵਿਕਾਸ ਕਿੱਟ 'ਤੇ

ਇੱਕ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨ ਲਈ ਸਾਬਕਾampਹਾਰਡਵੇਅਰ 'ਤੇ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
- Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ ਲਾਂਚ ਕਰੋ ਅਤੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ ਪ੍ਰੋਸੈਸਿੰਗ ➤ ਸਟਾਰਟ ਕੰਪਾਈਲੇਸ਼ਨ ਚੁਣੋ।
- ਤੁਹਾਡੇ ਦੁਆਰਾ ਇੱਕ SRAM ਆਬਜੈਕਟ ਬਣਾਉਣ ਤੋਂ ਬਾਅਦ file .sof, ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋampLe Intel ਡਿਵਾਈਸ 'ਤੇ:
- ਟੂਲ ➤ ਪ੍ਰੋਗਰਾਮਰ ਚੁਣੋ।
- ਪ੍ਰੋਗਰਾਮਰ ਵਿੱਚ, ਹਾਰਡਵੇਅਰ ਸੈੱਟਅੱਪ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
- ਇੱਕ ਪ੍ਰੋਗਰਾਮਿੰਗ ਡਿਵਾਈਸ ਚੁਣੋ।
- ਆਪਣੇ Intel Quartus Prime Pro Edition ਸੈਸ਼ਨ ਵਿੱਚ Intel TX ਬੋਰਡ ਨੂੰ ਚੁਣੋ ਅਤੇ ਸ਼ਾਮਲ ਕਰੋ।
- ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਮੋਡ ਜੇ 'ਤੇ ਸੈੱਟ ਹੈTAG.
- Intel ਡਿਵਾਈਸ ਦੀ ਚੋਣ ਕਰੋ ਅਤੇ ਡਿਵਾਈਸ ਜੋੜੋ ਤੇ ਕਲਿਕ ਕਰੋ. ਪ੍ਰੋਗਰਾਮਰ ਤੁਹਾਡੇ ਬੋਰਡ 'ਤੇ ਡਿਵਾਈਸਾਂ ਵਿਚਕਾਰ ਕਨੈਕਸ਼ਨਾਂ ਦਾ ਇੱਕ ਬਲਾਕ ਚਿੱਤਰ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ।
- ਤੁਹਾਡੇ .sof ਦੇ ਨਾਲ ਕਤਾਰ ਵਿੱਚ, .sof ਲਈ ਬਾਕਸ 'ਤੇ ਨਿਸ਼ਾਨ ਲਗਾਓ।
- .sof ਲਈ ਪ੍ਰੋਗਰਾਮ/ਸੰਰਚਨਾ ਵਿਕਲਪ ਨੂੰ ਚਾਲੂ ਕਰੋ।
- ਸਟਾਰਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
- ਲੜੀਵਾਰ ਅਤੇ ਟੀਮ-ਅਧਾਰਿਤ ਡਿਜ਼ਾਈਨ ਲਈ ਵਾਧਾ ਸੰਕਲਨ
- ਪ੍ਰੋਗਰਾਮਿੰਗ Intel FPGA ਡਿਵਾਈਸਾਂ
ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਟਾਰਗੇਟ ਡਿਵਾਈਸ ਨੂੰ ਬਦਲਣਾ ਸਾਬਕਾample
ਜੇਕਰ ਤੁਸੀਂ ਸਟ੍ਰੈਟਿਕਸ 10 ਟੀਐਕਸ ਈ-ਟਾਈਲ ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਨੂੰ ਆਪਣੇ ਟੀਚੇ ਵਾਲੇ ਯੰਤਰ ਵਜੋਂ ਚੁਣਿਆ ਹੈ, ਤਾਂ ਲੋਅ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਕੋਰ ਇੱਕ ਹਾਰਡਵੇਅਰ ਐਕਸ.ampਟਾਰਗੇਟ ਡਿਵਾਈਸ 1ST280EY2F55E2VG ਲਈ le ਡਿਜ਼ਾਈਨ. ਜੇਕਰ ਤੁਸੀਂ Agilex F-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-SoC ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਨੂੰ ਆਪਣੇ ਟਾਰਗੇਟ ਡਿਵਾਈਸ ਵਜੋਂ ਚੁਣਿਆ ਹੈ, ਤਾਂ ਲੋ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਕੋਰ ਇੱਕ ਹਾਰਡਵੇਅਰ ਐਕਸ.ampਟੀਚਾ ਜੰਤਰ AGFB014R24A2E2VR0 ਲਈ le ਡਿਜ਼ਾਈਨ. ਨਿਸ਼ਚਿਤ ਟਾਰਗਿਟ ਡਿਵਾਈਸ ਤੁਹਾਡੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ 'ਤੇ ਮੌਜੂਦ ਡਿਵਾਈਸ ਤੋਂ ਵੱਖ ਹੋ ਸਕਦੀ ਹੈ। ਆਪਣੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਵਿੱਚ ਨਿਸ਼ਾਨਾ ਜੰਤਰ ਨੂੰ ਤਬਦੀਲ ਕਰਨ ਲਈampਲੇ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
- Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਲਾਂਚ ਕਰੋ ਅਤੇ ਹਾਰਡਵੇਅਰ ਟੈਸਟ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹੋ file /hardware_test_design/eth_ex_40g.qpf.
- ਅਸਾਈਨਮੈਂਟ ਮੀਨੂ 'ਤੇ, ਡਿਵਾਈਸ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਡਿਵਾਈਸ ਡਾਇਲਾਗ ਬਾਕਸ ਦਿਸਦਾ ਹੈ।
- ਡਿਵਾਈਸ ਡਾਇਲਾਗ ਬਾਕਸ ਵਿੱਚ, ਇੱਕ ਈ-ਟਾਈਲ ਅਧਾਰਤ ਟਾਰਗੇਟ ਡਿਵਾਈਸ ਟੇਬਲ ਚੁਣੋ ਜੋ ਤੁਹਾਡੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਦੇ ਡਿਵਾਈਸ ਪਾਰਟ ਨੰਬਰ ਨਾਲ ਮੇਲ ਖਾਂਦਾ ਹੈ। Intel 'ਤੇ ਵਿਕਾਸ ਕਿੱਟ ਲਿੰਕ ਵੇਖੋ webਹੋਰ ਜਾਣਕਾਰੀ ਲਈ ਸਾਈਟ.
- ਜਦੋਂ ਤੁਸੀਂ ਇੱਕ ਡਿਵਾਈਸ ਚੁਣਦੇ ਹੋ ਤਾਂ ਇੱਕ ਪ੍ਰੋਂਪਟ ਦਿਖਾਈ ਦਿੰਦਾ ਹੈ, ਜਿਵੇਂ ਕਿ ਹੇਠਾਂ ਦਿੱਤੀ ਤਸਵੀਰ ਵਿੱਚ ਦਿਖਾਇਆ ਗਿਆ ਹੈ। ਤਿਆਰ ਕੀਤੇ ਪਿੰਨ ਅਸਾਈਨਮੈਂਟਾਂ ਅਤੇ I/O ਅਸਾਈਨਮੈਂਟਾਂ ਨੂੰ ਸੁਰੱਖਿਅਤ ਰੱਖਣ ਲਈ ਨਹੀਂ ਚੁਣੋ।
ਡਿਵਾਈਸ ਚੋਣ ਲਈ Intel Quartus Prime Prompt
- ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਦਾ ਪੂਰਾ ਸੰਕਲਨ ਕਰੋ।
ਤੁਸੀਂ ਹੁਣ ਆਪਣੇ ਹਾਰਡਵੇਅਰ 'ਤੇ ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰ ਸਕਦੇ ਹੋ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
- Intel Stratix 10 E-Tile TX ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ
- Intel Agilex F-ਸੀਰੀਜ਼ FPGA ਵਿਕਾਸ ਕਿੱਟ
ਹਾਰਡਵੇਅਰ ਵਿੱਚ ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰਨਾ
ਤੁਹਾਡੇ ਵੱਲੋਂ ਲੋ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਕੋਰ ਡਿਜ਼ਾਈਨ ਐਕਸ.ample ਅਤੇ ਇਸਨੂੰ ਆਪਣੇ Intel ਡਿਵਾਈਸ 'ਤੇ ਕੌਂਫਿਗਰ ਕਰੋ, ਤੁਸੀਂ IP ਕੋਰ ਅਤੇ ਇਸਦੇ ਏਮਬੈਡਡ ਨੇਟਿਵ PHY IP ਕੋਰ ਰਜਿਸਟਰਾਂ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਸਿਸਟਮ ਕੰਸੋਲ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ। ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਚਾਲੂ ਕਰਨ ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈ ਸਾਬਕਾampਲੇ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
- Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਵਿੱਚ, ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਲਾਂਚ ਕਰਨ ਲਈ ਟੂਲਸ ➤ ਸਿਸਟਮ ਡੀਬੱਗਿੰਗ ਟੂਲਸ ➤ ਸਿਸਟਮ ਕੰਸੋਲ ਦੀ ਚੋਣ ਕਰੋ।
- Tcl ਕੰਸੋਲ ਪੈਨ ਵਿੱਚ, ਡਾਇਰੈਕਟਰੀ ਨੂੰ /hardware_test_design/hwtest ਵਿੱਚ ਬਦਲਣ ਲਈ cd hwtest ਟਾਈਪ ਕਰੋ।
- ਜੇ ਨਾਲ ਕੁਨੈਕਸ਼ਨ ਖੋਲ੍ਹਣ ਲਈ ਸਰੋਤ main.tcl ਟਾਈਪ ਕਰੋTAG ਮਾਸਟਰ
ਵਧੀਕ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਕਮਾਂਡਾਂ IP ਕੋਰ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਉਪਲਬਧ ਹਨ:
- chkphy_status: ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ ਅਤੇ PHY ਲਾਕ ਸਥਿਤੀ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ।
- chkmac_stats: MAC ਅੰਕੜੇ ਕਾਊਂਟਰਾਂ ਵਿੱਚ ਮੁੱਲ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ।
- clear_all_stats: IP ਕੋਰ ਅੰਕੜੇ ਕਾਊਂਟਰਾਂ ਨੂੰ ਸਾਫ਼ ਕਰਦਾ ਹੈ।
- start_pkt_gen: ਪੈਕੇਟ ਜਨਰੇਟਰ ਸ਼ੁਰੂ ਕਰਦਾ ਹੈ।
- stop_pkt_gen: ਪੈਕੇਟ ਜਨਰੇਟਰ ਨੂੰ ਰੋਕਦਾ ਹੈ।
- sys_reset_digital_analog: ਸਿਸਟਮ ਰੀਸੈਟ.
- ਲੂਪ_ਆਨ: ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਨੂੰ ਚਾਲੂ ਕਰਦਾ ਹੈ
- loop_off: ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਨੂੰ ਬੰਦ ਕਰਦਾ ਹੈ।
- reg_read : 'ਤੇ IP ਕੋਰ ਰਜਿਸਟਰ ਮੁੱਲ ਵਾਪਸ ਕਰਦਾ ਹੈ .
- reg_write : ਲਿਖਦਾ ਹੈ ਪਤੇ 'ਤੇ ਆਈਪੀ ਕੋਰ ਰਜਿਸਟਰ ਲਈ .
ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੇ ਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ ਭਾਗ ਵਿੱਚ ਟੈਸਟ ਪ੍ਰਕਿਰਿਆ ਦੀ ਪਾਲਣਾ ਕਰੋample ਅਤੇ ਸਿਸਟਮ ਕੰਸੋਲ ਵਿੱਚ ਟੈਸਟ ਦੇ ਨਤੀਜੇ ਵੇਖੋ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
ਸਿਸਟਮ ਕੰਸੋਲ ਨਾਲ ਡਿਜ਼ਾਈਨ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰਨਾ ਅਤੇ ਡੀਬੱਗ ਕਰਨਾ
ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵੇਰਵਾ
ਈ-ਟਾਈਲ ਆਧਾਰਿਤ 40G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਘੱਟ ਲੇਟੈਂਸੀ E-Tile 40G ਈਥਰਨੈੱਟ Intel FPGA IP ਕੋਰ ਦੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ, IEEE 802.3ba ਸਟੈਂਡਰਡ CAUI-4 ਨਿਰਧਾਰਨ ਦੇ ਅਨੁਕੂਲ ਈ-ਟਾਈਲ ਅਧਾਰਤ ਟ੍ਰਾਂਸਸੀਵਰ ਇੰਟਰਫੇਸ ਦੇ ਨਾਲ। ਤੁਸੀਂ ਐਕਸ ਤੋਂ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰ ਸਕਦੇ ਹੋampਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਵਿੱਚ le ਡਿਜ਼ਾਈਨ ਟੈਬ।
ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਲਈ ਸਾਬਕਾampਇਸ ਲਈ, ਤੁਹਾਨੂੰ ਪਹਿਲਾਂ IP ਕੋਰ ਪਰਿਵਰਤਨ ਲਈ ਪੈਰਾਮੀਟਰ ਮੁੱਲ ਸੈੱਟ ਕਰਨੇ ਚਾਹੀਦੇ ਹਨ ਜੋ ਤੁਸੀਂ ਆਪਣੇ ਅੰਤਮ ਉਤਪਾਦ ਵਿੱਚ ਬਣਾਉਣਾ ਚਾਹੁੰਦੇ ਹੋ। ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨਾ ਸਾਬਕਾample IP ਕੋਰ ਦੀ ਇੱਕ ਕਾਪੀ ਬਣਾਉਂਦਾ ਹੈ; ਟੈਸਟਬੈਂਚ ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਇਸ ਪਰਿਵਰਤਨ ਨੂੰ DUT ਦੇ ਤੌਰ ਤੇ ਵਰਤੋ. ਜੇਕਰ ਤੁਸੀਂ ਆਪਣੇ ਅੰਤਮ ਉਤਪਾਦ ਵਿੱਚ ਪੈਰਾਮੀਟਰ ਮੁੱਲਾਂ ਨਾਲ ਮੇਲ ਕਰਨ ਲਈ DUT ਲਈ ਪੈਰਾਮੀਟਰ ਮੁੱਲ ਸੈੱਟ ਨਹੀਂ ਕਰਦੇ ਹੋ, ਤਾਂ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਤੁਹਾਡੇ ਦੁਆਰਾ ਤਿਆਰ ਕੀਤਾ ਗਿਆ IP ਕੋਰ ਪਰਿਵਰਤਨ ਦਾ ਅਭਿਆਸ ਨਹੀਂ ਕਰਦਾ ਜੋ ਤੁਸੀਂ ਚਾਹੁੰਦੇ ਹੋ।
ਨੋਟ:
ਟੈਸਟਬੈਂਚ ਆਈਪੀ ਕੋਰ ਦਾ ਇੱਕ ਬੁਨਿਆਦੀ ਟੈਸਟ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ। ਇਹ ਇੱਕ ਪੂਰੇ ਤਸਦੀਕ ਵਾਤਾਵਰਣ ਲਈ ਇੱਕ ਬਦਲ ਹੋਣ ਦਾ ਇਰਾਦਾ ਨਹੀਂ ਹੈ। ਤੁਹਾਨੂੰ ਸਿਮੂਲੇਸ਼ਨ ਅਤੇ ਹਾਰਡਵੇਅਰ ਵਿੱਚ ਆਪਣੀ ਖੁਦ ਦੀ ਲੋ ਲੇਟੈਂਸੀ E-Tile 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਡਿਜ਼ਾਈਨ ਦੀ ਵਧੇਰੇ ਵਿਆਪਕ ਪੁਸ਼ਟੀ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ
- Intel Stratix 40 ਜਾਂ Intel Agilex ਡਿਵਾਈਸ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ ਈ-ਟਾਈਲ ਟ੍ਰਾਂਸਸੀਵਰ ਲਈ 10G ਈਥਰਨੈੱਟ MAC/PCS IP ਕੋਰ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
- ਪ੍ਰਸਤਾਵਨਾ ਪਾਸ-ਥਰੂ ਅਤੇ ਲਿੰਕ ਸਿਖਲਾਈ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
- ਡਿਜ਼ਾਈਨ ਐਕਸampMAC ਅੰਕੜੇ ਕਾਊਂਟਰਾਂ ਦੀ ਵਿਸ਼ੇਸ਼ਤਾ ਦੇ ਨਾਲ।
- ਟੈਸਟਬੈਂਚ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਸਕ੍ਰਿਪਟ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
ਹਾਰਡਵੇਅਰ ਅਤੇ ਸਾਫਟਵੇਅਰ ਲੋੜਾਂ
ਸਾਬਕਾ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈample design, ਹੇਠ ਦਿੱਤੇ ਹਾਰਡਵੇਅਰ ਅਤੇ ਸੌਫਟਵੇਅਰ ਦੀ ਵਰਤੋਂ ਕਰੋ:
- Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ
- ਸਿਸਟਮ ਕੰਸੋਲ
- ModelSim, VCS, VCS MX, NCSim, ਜਾਂ Xcelium ਸਿਮੂਲੇਟਰ
- Intel Stratix 10 TX E-Tile Transceiver Signal Integrity Development Kit ਜਾਂ Intel Agilex F-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-SoC ਵਿਕਾਸ ਕਿੱਟ
ਕਾਰਜਾਤਮਕ ਵਰਣਨ
ਇਹ ਭਾਗ 40G ਈਥਰਨੈੱਟ MAC/PCS IP ਕੋਰ ਦਾ ਵਰਣਨ ਕਰਦਾ ਹੈ ਜੋ E-ਟਾਈਲ ਅਧਾਰਤ ਟ੍ਰਾਂਸਸੀਵਰ ਵਿੱਚ Intel ਡਿਵਾਈਸ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ। ਪ੍ਰਸਾਰਣ ਦਿਸ਼ਾ ਵਿੱਚ, MAC ਕਲਾਇੰਟ ਫਰੇਮਾਂ ਨੂੰ ਸਵੀਕਾਰ ਕਰਦਾ ਹੈ ਅਤੇ PHY ਨੂੰ ਪਾਸ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਇੰਟਰ-ਪੈਕੇਟ ਗੈਪ (IPG), ਪ੍ਰਸਤਾਵਨਾ, ਫਰੇਮ ਡੀਲੀਮੀਟਰ (SFD) ਦੀ ਸ਼ੁਰੂਆਤ, ਪੈਡਿੰਗ, ਅਤੇ CRC ਬਿੱਟਾਂ ਨੂੰ ਸ਼ਾਮਲ ਕਰਦਾ ਹੈ। PHY MAC ਫਰੇਮ ਨੂੰ ਏਨਕੋਡ ਕਰਦਾ ਹੈ ਜਿਵੇਂ ਕਿ ਮੀਡੀਆ ਨੂੰ ਰਿਮੋਟ ਸਿਰੇ ਤੱਕ ਭਰੋਸੇਯੋਗ ਪ੍ਰਸਾਰਣ ਲਈ ਲੋੜੀਂਦਾ ਹੈ। ਪ੍ਰਾਪਤ ਦਿਸ਼ਾ ਵਿੱਚ, PHY ਫਰੇਮਾਂ ਨੂੰ MAC ਨੂੰ ਭੇਜਦਾ ਹੈ। MAC PHY ਤੋਂ ਫਰੇਮਾਂ ਨੂੰ ਸਵੀਕਾਰ ਕਰਦਾ ਹੈ, ਜਾਂਚ ਕਰਦਾ ਹੈ, CRC, ਪ੍ਰਸਤਾਵਨਾ, ਅਤੇ SFD ਨੂੰ ਬਾਹਰ ਕੱਢਦਾ ਹੈ, ਅਤੇ ਬਾਕੀ ਫਰੇਮ ਕਲਾਇੰਟ ਨੂੰ ਦਿੰਦਾ ਹੈ।
ਸਿਮੂਲੇਸ਼ਨ
ਟੈਸਟਬੈਂਚ IP ਕੋਰ ਦੁਆਰਾ ਟਰੈਫਿਕ ਭੇਜਦਾ ਹੈ, ਟ੍ਰਾਂਸਮਿਟ ਸਾਈਡ ਦਾ ਅਭਿਆਸ ਕਰਦਾ ਹੈ ਅਤੇ IP ਕੋਰ ਦੇ ਸਾਈਡ ਨੂੰ ਪ੍ਰਾਪਤ ਕਰਦਾ ਹੈ।
ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਬਲਾਕ ਡਾਇਗ੍ਰਾਮ

ਸਿਮੂਲੇਸ਼ਨ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਉੱਚ ਪੱਧਰੀ ਟੈਸਟ file ਬੁਨਿਆਦੀ_avl_tb_top.sv ਹੈ। ਇਹ file PHY ਨੂੰ 156.25 Mhz ਦਾ ਇੱਕ ਘੜੀ ਹਵਾਲਾ clk_ref ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ। ਇਸ ਵਿੱਚ 10 ਪੈਕੇਟ ਭੇਜਣ ਅਤੇ ਪ੍ਰਾਪਤ ਕਰਨ ਦਾ ਕੰਮ ਸ਼ਾਮਲ ਹੈ।
ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਕੋਰ ਟੈਸਟਬੈਂਚ File ਵਰਣਨ
| File ਨਾਮ | ਵਰਣਨ |
| ਟੈਸਟਬੈਂਚ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ Files | |
| ਬੁਨਿਆਦੀ_avl_tb_top.sv | ਸਿਖਰ-ਪੱਧਰੀ ਟੈਸਟਬੈਂਚ file. ਟੈਸਟਬੈਂਚ ਡੀਯੂਟੀ ਨੂੰ ਤਤਕਾਲ ਬਣਾਉਂਦਾ ਹੈ ਅਤੇ ਪੈਕੇਟ ਬਣਾਉਣ ਅਤੇ ਸਵੀਕਾਰ ਕਰਨ ਲਈ ਵੇਰੀਲੌਗ ਐਚਡੀਐਲ ਕਾਰਜ ਚਲਾਉਂਦਾ ਹੈ। |
| ਬੁਨਿਆਦੀ_avl_tb_top_nc.sv | ਸਿਖਰ-ਪੱਧਰੀ ਟੈਸਟਬੈਂਚ file NCSim ਸਿਮੂਲੇਟਰ ਦੇ ਅਨੁਕੂਲ। |
| basic_avl_tb_top_msim.sv | ਸਿਖਰ-ਪੱਧਰੀ ਟੈਸਟਬੈਂਚ file ਮਾਡਲਸਿਮ ਸਿਮੂਲੇਟਰ ਦੇ ਅਨੁਕੂਲ। |
| ਟੈਸਟਬੈਂਚ ਸਕ੍ਰਿਪਟਾਂ | |
| run_vsim.do | ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ ਮੈਂਟਰ ਗ੍ਰਾਫਿਕਸ* ਮਾਡਲਸਿਮ ਸਕ੍ਰਿਪਟ। |
| run_vcs.sh | ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Synopsys VCS ਸਕ੍ਰਿਪਟ। |
| ਜਾਰੀ… | |
| File ਨਾਮ | ਵਰਣਨ |
| run_vcsmx.sh | ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Synopsys VCS MX ਸਕ੍ਰਿਪਟ (VHDL ਨਾਲ ਵੇਰੀਲੋਗ HDL ਅਤੇ ਸਿਸਟਮ ਵੇਰੀਲੌਗ ਦਾ ਸੰਯੁਕਤ)। |
| run_ncsim.sh | ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Cadence NCSim ਸਕ੍ਰਿਪਟ। |
| run_xcelium.sh | ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Cadence Xcelium ਸਕ੍ਰਿਪਟ। |
ਸਫਲ ਟੈਸਟ ਰਨ ਹੇਠਾਂ ਦਿੱਤੇ ਵਿਵਹਾਰ ਦੀ ਪੁਸ਼ਟੀ ਕਰਦਾ ਆਉਟਪੁੱਟ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ:
- RX ਘੜੀ ਦੇ ਸੈਟਲ ਹੋਣ ਦੀ ਉਡੀਕ ਕੀਤੀ ਜਾ ਰਹੀ ਹੈ
- PHY ਸਥਿਤੀ ਨੂੰ ਛਾਪਣਾ
- 10 ਪੈਕੇਟ ਭੇਜੇ ਜਾ ਰਹੇ ਹਨ
- 10 ਪੈਕੇਟ ਪ੍ਰਾਪਤ ਕਰ ਰਹੇ ਹਨ
- "ਟੈਸਟਬੈਂਚ ਪੂਰਾ" ਪ੍ਰਦਰਸ਼ਿਤ ਕੀਤਾ ਜਾ ਰਿਹਾ ਹੈ।
ਹੇਠ ਲਿਖੇ ਐਸample ਆਉਟਪੁੱਟ ਇੱਕ ਸਫਲ ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟ ਰਨ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ:
- # RX ਅਲਾਈਨਮੈਂਟ ਲਈ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
- #RX ਡੈਸਕਿਊ ਲਾਕ ਕੀਤਾ ਗਿਆ
- #RX ਲੇਨ ਅਲਾਈਨਮੈਂਟ ਲੌਕ ਕੀਤੀ ਗਈ
- #TX ਸਮਰਥਿਤ
- #**ਪੈਕੇਟ 1 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪੈਕੇਟ 2 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪੈਕੇਟ 3 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪੈਕੇਟ 4 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪੈਕੇਟ 5 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪੈਕੇਟ 6 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪੈਕੇਟ 7 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 1...
- #**ਪੈਕੇਟ 8 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 2...
- #**ਪੈਕੇਟ 9 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 3...
- #**ਪੈਕੇਟ 10 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 4...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 5...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 6...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 7...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 8...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 9...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 10...
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨਾ ਸਾਬਕਾampਪੰਨਾ 7 'ਤੇ le Testbench
ਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ
ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਵਿਚ ਸਾਬਕਾampਲੇ, ਤੁਸੀਂ ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਮੋਡ ਵਿੱਚ IP ਕੋਰ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰ ਸਕਦੇ ਹੋ ਅਤੇ ਟ੍ਰਾਂਸਮਿਟ ਸਾਈਡ 'ਤੇ ਟ੍ਰੈਫਿਕ ਪੈਦਾ ਕਰ ਸਕਦੇ ਹੋ ਜੋ ਰਿਸੀਵ ਸਾਈਡ ਰਾਹੀਂ ਵਾਪਸ ਆ ਜਾਂਦਾ ਹੈ।
ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ IP ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਉੱਚ ਪੱਧਰੀ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮ

ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵਿੱਚ ਹੇਠ ਲਿਖੇ ਭਾਗ ਸ਼ਾਮਲ ਹਨ:
- ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਕੋਰ।
- ਕਲਾਇੰਟ ਤਰਕ ਜੋ IP ਕੋਰ ਦੀ ਪ੍ਰੋਗਰਾਮਿੰਗ, ਅਤੇ ਪੈਕੇਟ ਬਣਾਉਣ ਅਤੇ ਜਾਂਚ ਦਾ ਤਾਲਮੇਲ ਕਰਦਾ ਹੈ।
- IOPLL 100 MHz ਇਨਪੁਟ ਘੜੀ ਤੋਂ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਲਈ 50 MHz ਘੜੀ ਤਿਆਰ ਕਰਨ ਲਈ ਸਾਬਕਾample.
- JTAG ਕੰਟਰੋਲਰ ਜੋ Intel ਸਿਸਟਮ ਕੰਸੋਲ ਨਾਲ ਸੰਚਾਰ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਸਿਸਟਮ ਕੰਸੋਲ ਰਾਹੀਂ ਕਲਾਇੰਟ ਤਰਕ ਨਾਲ ਸੰਚਾਰ ਕਰਦੇ ਹੋ।
ਡਿਜ਼ਾਈਨ ਐਕਸ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈ ਪ੍ਰਦਾਨ ਕੀਤੀ ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ ਲਿੰਕ 'ਤੇ ਪ੍ਰਕਿਰਿਆ ਦੀ ਪਾਲਣਾ ਕਰੋampਚੁਣੇ ਗਏ ਹਾਰਡਵੇਅਰ ਵਿੱਚ le.
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
- ਪੰਨਾ 40 'ਤੇ ਹਾਰਡਵੇਅਰ ਵਿੱਚ ਲੋ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 9G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰਨਾ
- ਸਿਸਟਮ ਕੰਸੋਲ ਨਾਲ ਡਿਜ਼ਾਈਨ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰਨਾ ਅਤੇ ਡੀਬੱਗ ਕਰਨਾ
ਅੰਦਰੂਨੀ ਲੂਪਬੈਕ ਟੈਸਟ
ਅੰਦਰੂਨੀ ਲੂਪਬੈਕ ਟੈਸਟ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਨੂੰ ਚਲਾਓ:
- ਸਿਸਟਮ ਨੂੰ ਰੀਸੈਟ ਕਰੋ.
sys_reset_digital_analog - ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ ਅਤੇ PHY ਸਥਿਤੀ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰੋ।
chkphy_status - ਅੰਦਰੂਨੀ ਲੂਪਬੈਕ ਟੈਸਟ ਨੂੰ ਚਾਲੂ ਕਰੋ।
ਲੂਪ_ਆਨ - ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ ਅਤੇ PHY ਸਥਿਤੀ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰੋ। rx_clk ਨੂੰ 312.5 MHz ਤੇ ਸੈੱਟ ਕੀਤਾ ਗਿਆ ਹੈ ਅਤੇ
rx_pcs_ready 1 'ਤੇ ਸੈੱਟ ਹੈ।
chkphy_status - ਪੈਕੇਟ ਜਨਰੇਟਰ ਸ਼ੁਰੂ ਕਰੋ।
start_pkt_gen - ਪੈਕੇਟ ਜਨਰੇਟਰ ਨੂੰ ਰੋਕੋ.
stop_pkt_gen - Review ਪ੍ਰਸਾਰਿਤ ਅਤੇ ਪ੍ਰਾਪਤ ਪੈਕੇਟਾਂ ਦੀ ਗਿਣਤੀ।
chkmac_stats - ਅੰਦਰੂਨੀ ਲੂਪਬੈਕ ਟੈਸਟ ਨੂੰ ਬੰਦ ਕਰੋ।
loop_off
ਬਾਹਰੀ ਲੂਪਬੈਕ ਟੈਸਟ
ਬਾਹਰੀ ਲੂਪਬੈਕ ਟੈਸਟ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਨੂੰ ਚਲਾਓ:
- ਸਿਸਟਮ ਨੂੰ ਰੀਸੈਟ ਕਰੋ.
sys_reset_digital_analog - ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ ਅਤੇ PHY ਸਥਿਤੀ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰੋ। rx_clk ਨੂੰ 312.5 MHz ਤੇ ਸੈੱਟ ਕੀਤਾ ਗਿਆ ਹੈ ਅਤੇ
rx_pcs_ready 1. chkphy_status 'ਤੇ ਸੈੱਟ ਹੈ - ਪੈਕੇਟ ਜਨਰੇਟਰ ਸ਼ੁਰੂ ਕਰੋ।
start_pkt_gen - ਪੈਕੇਟ ਜਨਰੇਟਰ ਨੂੰ ਰੋਕੋ.
stop_pkt_gen - Review ਪ੍ਰਸਾਰਿਤ ਅਤੇ ਪ੍ਰਾਪਤ ਪੈਕੇਟਾਂ ਦੀ ਗਿਣਤੀ।
chkmac_stats
ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਰਜਿਸਟਰ
ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਰਜਿਸਟਰ ਦਾ ਨਕਸ਼ਾ
ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਲਈ ਮੈਮੋਰੀ ਮੈਪਡ ਰਜਿਸਟਰ ਰੇਂਜਾਂ ਨੂੰ ਸੂਚੀਬੱਧ ਕਰਦਾ ਹੈample. ਤੁਸੀਂ ਸਿਸਟਮ ਕੰਸੋਲ ਵਿੱਚ reg_read ਅਤੇ reg_write ਫੰਕਸ਼ਨਾਂ ਨਾਲ ਇਹਨਾਂ ਰਜਿਸਟਰਾਂ ਤੱਕ ਪਹੁੰਚ ਕਰਦੇ ਹੋ।
| ਸ਼ਬਦ ਔਫਸੈੱਟ | ਰਜਿਸਟਰ ਦੀ ਕਿਸਮ |
| 0x300-0x3FF | PHY ਰਜਿਸਟਰ |
| 0x400-0x4FF | TX MAC ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ |
| 0x500-0x5FF | RX MAC ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ |
| 0x800-0x8FF | ਸਟੈਟਿਸਟਿਕਸ ਕਾਊਂਟਰ ਰਜਿਸਟਰ - TX ਦਿਸ਼ਾ |
| 0x900-0x9FF | ਸਟੈਟਿਸਟਿਕਸ ਕਾਊਂਟਰ ਰਜਿਸਟਰ - RX ਦਿਸ਼ਾ |
| 0x1000-1016 | ਪੈਕੇਟ ਕਲਾਇੰਟ ਰਜਿਸਟਰ |
ਪੈਕੇਟ ਕਲਾਇੰਟ ਰਜਿਸਟਰ
ਤੁਸੀਂ ਲੋ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ ਅਨੁਕੂਲਿਤ ਕਰ ਸਕਦੇ ਹੋampਗਾਹਕ ਰਜਿਸਟਰਾਂ ਨੂੰ ਪ੍ਰੋਗਰਾਮਿੰਗ ਦੁਆਰਾ.
| ਐਡਰ | ਨਾਮ | ਬਿੱਟ | ਵਰਣਨ | HW ਰੀਸੈਟ ਮੁੱਲ | ਪਹੁੰਚ |
| 0x1008 | ਪੈਕੇਟ ਆਕਾਰ ਸੰਰਚਨਾ | [29:0] | ਬਾਈਟਸ ਵਿੱਚ ਟ੍ਰਾਂਸਮਿਟ ਪੈਕੇਟ ਦਾ ਆਕਾਰ ਦਿਓ। ਇਹਨਾਂ ਬਿੱਟਾਂ ਦੀ PKT_GEN_TX_CTRL ਰਜਿਸਟਰ ਲਈ ਨਿਰਭਰਤਾ ਹੈ।
• ਬਿੱਟ [29:16]: ਬਾਈਟਸ ਵਿੱਚ ਪੈਕੇਟ ਦੇ ਆਕਾਰ ਦੀ ਉਪਰਲੀ ਸੀਮਾ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰੋ। ਇਹ ਸਿਰਫ਼ ਵਾਧੇ ਵਾਲੇ ਮੋਡ 'ਤੇ ਲਾਗੂ ਹੁੰਦਾ ਹੈ। • ਬਿੱਟ [13:0]: — ਫਿਕਸਡ ਮੋਡ ਲਈ, ਇਹ ਬਿੱਟ ਬਾਈਟਾਂ ਵਿੱਚ ਟ੍ਰਾਂਸਮਿਟ ਪੈਕੇਟ ਦਾ ਆਕਾਰ ਦਰਸਾਉਂਦੇ ਹਨ। — ਇਨਕਰੀਮੈਂਟਲ ਮੋਡ ਲਈ, ਇਹ ਬਿੱਟ ਇੱਕ ਪੈਕੇਟ ਲਈ ਇਨਕਰੀਮੈਂਟਲ ਬਾਈਟਾਂ ਨੂੰ ਦਰਸਾਉਂਦੇ ਹਨ। |
0x25800040 | RW |
| 0x1009 | ਪੈਕੇਟ ਨੰਬਰ ਕੰਟਰੋਲ | [31:0] | ਪੈਕੇਟ ਜਨਰੇਟਰ ਤੋਂ ਪ੍ਰਸਾਰਿਤ ਕਰਨ ਲਈ ਪੈਕੇਟਾਂ ਦੀ ਸੰਖਿਆ ਦਿਓ। | 0xA | RW |
| 0x1010 | PKT_GEN_TX_C TRL | [7:0] | • ਬਿੱਟ [0]: ਰਾਖਵਾਂ।
• ਬਿੱਟ [1]: ਪੈਕੇਟ ਜਨਰੇਟਰ ਬਿੱਟ ਨੂੰ ਅਯੋਗ ਕਰਦਾ ਹੈ। ਪੈਕੇਟ ਜਨਰੇਟਰ ਨੂੰ ਬੰਦ ਕਰਨ ਲਈ ਇਸ ਬਿੱਟ ਨੂੰ 1 ਦੇ ਮੁੱਲ 'ਤੇ ਸੈੱਟ ਕਰੋ, ਅਤੇ ਪੈਕੇਟ ਜਨਰੇਟਰ ਨੂੰ ਚਾਲੂ ਕਰਨ ਲਈ ਇਸਨੂੰ 0 ਦੇ ਮੁੱਲ 'ਤੇ ਰੀਸੈਟ ਕਰੋ। • ਬਿੱਟ [2]: ਰਾਖਵਾਂ। • ਬਿੱਟ [3]: ਜੇਕਰ IP ਕੋਰ MAC ਲੂਪਬੈਕ ਮੋਡ ਵਿੱਚ ਹੈ ਤਾਂ ਇਸਦਾ ਮੁੱਲ 1 ਹੈ; ਦਾ ਮੁੱਲ 0 ਹੈ ਜੇਕਰ ਪੈਕੇਟ ਕਲਾਇੰਟ ਪੈਕੇਟ ਜਨਰੇਟਰ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ। |
0x6 | RW |
| ਜਾਰੀ… | |||||
| ਐਡਰ | ਨਾਮ | ਬਿੱਟ | ਵਰਣਨ | HW ਰੀਸੈਟ ਮੁੱਲ | ਪਹੁੰਚ |
| • ਬਿੱਟ [5:4]:
- 00: ਰੈਂਡਮ ਮੋਡ - 01: ਸਥਿਰ ਮੋਡ - 10: ਵਾਧਾ ਮੋਡ • ਬਿੱਟ [6]: ਪ੍ਰਸਾਰਿਤ ਕੀਤੇ ਜਾਣ ਵਾਲੇ ਪੈਕੇਟਾਂ ਦੀ ਇੱਕ ਨਿਸ਼ਚਿਤ ਸੰਖਿਆ ਦੇ ਆਧਾਰ 'ਤੇ ਪੈਕੇਟ ਜਨਰੇਟਰ ਨੂੰ ਬੰਦ ਕਰਨ ਲਈ 1x0 ਰਜਿਸਟਰ ਦੀ ਵਰਤੋਂ ਕਰਨ ਲਈ ਇਸ ਬਿੱਟ ਨੂੰ 1009 'ਤੇ ਸੈੱਟ ਕਰੋ। ਨਹੀਂ ਤਾਂ, PKT_GEN_TX_CTRL ਰਜਿਸਟਰ ਦਾ ਬਿੱਟ [1] ਪੈਕੇਟ ਜਨਰੇਟਰ ਨੂੰ ਬੰਦ ਕਰਨ ਲਈ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ। • ਬਿੱਟ [7]: - 1: ਪੈਕੇਟਾਂ ਦੇ ਵਿਚਕਾਰ ਅੰਤਰ ਦੇ ਬਿਨਾਂ ਪ੍ਰਸਾਰਣ ਲਈ। - 0: ਪੈਕੇਟਾਂ ਦੇ ਵਿਚਕਾਰ ਬੇਤਰਤੀਬੇ ਪਾੜੇ ਦੇ ਨਾਲ ਸੰਚਾਰ ਲਈ। |
|||||
| 0x1011 | ਮੰਜ਼ਿਲ ਪਤਾ 32 ਬਿੱਟ ਘੱਟ ਹੈ | [31:0] | ਮੰਜ਼ਿਲ ਪਤਾ (ਹੇਠਲੇ 32 ਬਿੱਟ) | 0x56780ADD | RW |
| 0x1012 | ਮੰਜ਼ਿਲ ਪਤਾ ਉੱਪਰ 16 ਬਿੱਟ | [15:0] | ਮੰਜ਼ਿਲ ਪਤਾ (ਉੱਪਰ 16 ਬਿੱਟ) | 0x1234 | RW |
| 0x1013 | ਸਰੋਤ ਪਤਾ 32 ਬਿੱਟ ਘੱਟ ਹੈ | [31:0] | ਸਰੋਤ ਪਤਾ (ਹੇਠਲੇ 32 ਬਿੱਟ) | 0x43210ADD | RW |
| 0x1014 | ਸਰੋਤ ਪਤਾ ਉਪਰਲੇ 16 ਬਿੱਟ | [15:0] | ਸਰੋਤ ਪਤਾ (ਉੱਪਰਲੇ 16 ਬਿੱਟ) | 0x8765 | RW |
| 0x1016 | PKT_CL_LOOPB ACK_RESET | [0] | MAC ਲੂਪਬੈਕ ਰੀਸੈੱਟ। ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਲਈ 1 ਦੇ ਮੁੱਲ 'ਤੇ ਸੈੱਟ ਕਰੋample MAC ਲੂਪਬੈਕ. | 1'ਬੀ 0 | RW |
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਕੰਟਰੋਲ ਅਤੇ ਸਥਿਤੀ ਰਜਿਸਟਰ ਵਰਣਨ ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ IP ਕੋਰ ਰਜਿਸਟਰਾਂ ਦਾ ਵਰਣਨ ਕਰਦਾ ਹੈ।
ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਇੰਟਰਫੇਸ ਸਿਗਨਲ
ਘੱਟ ਲੇਟੈਂਸੀ E-Tile 40G ਈਥਰਨੈੱਟ ਟੈਸਟਬੈਂਚ ਸਵੈ-ਨਿਰਭਰ ਹੈ ਅਤੇ ਤੁਹਾਨੂੰ ਕਿਸੇ ਵੀ ਇਨਪੁਟ ਸਿਗਨਲ ਨੂੰ ਚਲਾਉਣ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਇੰਟਰਫੇਸ ਸਿਗਨਲ
| ਸਿਗਨਲ | ਦਿਸ਼ਾ | ਟਿੱਪਣੀਆਂ |
|
clk50 |
ਇੰਪੁੱਟ |
ਇਹ ਘੜੀ ਬੋਰਡ ਔਸਿਲੇਟਰ ਦੁਆਰਾ ਚਲਾਈ ਜਾਂਦੀ ਹੈ।
• Intel Stratix 50 ਬੋਰਡ 'ਤੇ 10 MHz 'ਤੇ ਗੱਡੀ ਚਲਾਓ। • Intel Agilex ਬੋਰਡ 'ਤੇ 100 MHz 'ਤੇ ਗੱਡੀ ਚਲਾਓ। ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਇਸ ਘੜੀ ਨੂੰ ਡਿਵਾਈਸ ਉੱਤੇ ਇੱਕ IOPLL ਦੇ ਇਨਪੁਟ ਲਈ ਰੂਟ ਕਰਦਾ ਹੈ ਅਤੇ ਅੰਦਰੂਨੀ ਤੌਰ 'ਤੇ 100 MHz ਘੜੀ ਨੂੰ ਚਲਾਉਣ ਲਈ IOPLL ਨੂੰ ਕੌਂਫਿਗਰ ਕਰਦਾ ਹੈ। |
| clk_ref | ਇੰਪੁੱਟ | 156.25 MHz 'ਤੇ ਡ੍ਰਾਈਵ ਕਰੋ। |
| ਜਾਰੀ… | ||
| ਸਿਗਨਲ | ਦਿਸ਼ਾ | ਟਿੱਪਣੀਆਂ |
|
cpu_resetn |
ਇੰਪੁੱਟ |
IP ਕੋਰ ਨੂੰ ਰੀਸੈੱਟ ਕਰਦਾ ਹੈ। ਕਿਰਿਆਸ਼ੀਲ ਘੱਟ। ਗਲੋਬਲ ਹਾਰਡ ਰੀਸੈਟ csr_reset_n ਨੂੰ IP ਕੋਰ 'ਤੇ ਚਲਾਉਂਦਾ ਹੈ। |
| tx_ਸੀਰੀਅਲ[3:0] | ਆਉਟਪੁੱਟ | ਟ੍ਰਾਂਸਸੀਵਰ PHY ਆਉਟਪੁੱਟ ਸੀਰੀਅਲ ਡੇਟਾ। |
| rx_ਸੀਰੀਅਲ[3:0] | ਇੰਪੁੱਟ | ਟ੍ਰਾਂਸਸੀਵਰ PHY ਇਨਪੁਟ ਸੀਰੀਅਲ ਡੇਟਾ। |
|
user_led[7:0] |
ਆਉਟਪੁੱਟ |
ਸਥਿਤੀ ਸੰਕੇਤ। ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਇਹਨਾਂ ਬਿੱਟਾਂ ਨੂੰ ਟਾਰਗੇਟ ਬੋਰਡ 'ਤੇ LED ਨੂੰ ਚਲਾਉਣ ਲਈ ਜੋੜਦਾ ਹੈ। ਵਿਅਕਤੀਗਤ ਬਿੱਟ ਹੇਠਾਂ ਦਿੱਤੇ ਸਿਗਨਲ ਮੁੱਲਾਂ ਅਤੇ ਘੜੀ ਦੇ ਵਿਹਾਰ ਨੂੰ ਦਰਸਾਉਂਦੇ ਹਨ:
• [0]: IP ਕੋਰ ਲਈ ਮੁੱਖ ਰੀਸੈਟ ਸਿਗਨਲ • [1]: clk_ref ਦਾ ਵੰਡਿਆ ਹੋਇਆ ਸੰਸਕਰਣ • [2]: clk50 ਦਾ ਵੰਡਿਆ ਹੋਇਆ ਸੰਸਕਰਣ • [3]: 100 MHz ਸਥਿਤੀ ਘੜੀ ਦਾ ਵੰਡਿਆ ਸੰਸਕਰਣ • [4]: tx_lanes_stable • [5]: rx_block_lock • [6]: rx_am_lock • [7]: rx_pcs_ready |
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
ਇੰਟਰਫੇਸ ਅਤੇ ਸਿਗਨਲ ਵਰਣਨ ਘੱਟ ਲੇਟੈਂਸੀ E-Tile 40G ਈਥਰਨੈੱਟ IP ਕੋਰ ਸਿਗਨਲਾਂ ਅਤੇ ਉਹਨਾਂ ਇੰਟਰਫੇਸਾਂ ਦੇ ਵਿਸਤ੍ਰਿਤ ਵਰਣਨ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ ਜਿਸ ਨਾਲ ਉਹ ਸੰਬੰਧਿਤ ਹਨ।
ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਆਰਕਾਈਵਜ਼
ਜੇਕਰ ਇੱਕ IP ਕੋਰ ਸੰਸਕਰਣ ਸੂਚੀਬੱਧ ਨਹੀਂ ਹੈ, ਤਾਂ ਪਿਛਲੇ IP ਕੋਰ ਸੰਸਕਰਣ ਲਈ ਉਪਭੋਗਤਾ ਗਾਈਡ ਲਾਗੂ ਹੁੰਦੀ ਹੈ।
| Intel Quartus Prime ਸੰਸਕਰਣ | IP ਕੋਰ ਸੰਸਕਰਣ | ਯੂਜ਼ਰ ਗਾਈਡ |
| 20.1 | 19.1.0 | ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਲੇ ਯੂਜ਼ਰ ਗਾਈਡ |
ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਦਸਤਾਵੇਜ਼ ਸੰਸ਼ੋਧਨ ਇਤਿਹਾਸampਲੇ ਯੂਜ਼ਰ ਗਾਈਡ
| ਦਸਤਾਵੇਜ਼ ਸੰਸਕਰਣ | Intel Quartus Prime ਸੰਸਕਰਣ | IP ਸੰਸਕਰਣ | ਤਬਦੀਲੀਆਂ |
| 2020.06.22 | 20.2 | 20.0.0 | Intel Agilex ਡਿਵਾਈਸਾਂ ਲਈ ਡਿਵਾਈਸ ਸਹਾਇਤਾ ਸ਼ਾਮਲ ਕੀਤੀ ਗਈ। |
| 2020.04.13 | 20.1 | 19.1.0 | ਸ਼ੁਰੂਆਤੀ ਰਿਲੀਜ਼। |
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੀ ਕਾਰਗੁਜ਼ਾਰੀ ਦੀ ਵਾਰੰਟੀ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੇ ਅਨੁਸਾਰ ਕਰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਐਪਲੀਕੇਸ਼ਨ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ ਕਿ ਉਹ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ। ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ਦਸਤਾਵੇਜ਼ / ਸਰੋਤ
![]() |
intel ਲੋ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample [pdf] ਯੂਜ਼ਰ ਗਾਈਡ ਘੱਟ ਲੇਟੈਂਸੀ ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample, ਘੱਟ ਲੇਟੈਂਸੀ, ਈ-ਟਾਈਲ 40G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample, Intel FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample, IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample |





