ಇಂಟೆಲ್-ಲೋಗೋ

ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ವಿನ್ಯಾಸ ಎಕ್ಸ್ample

ಕಡಿಮೆ-ಲೇಟೆನ್ಸಿ-ಇ-ಟೈಲ್-40G-ಎತರ್ನೆಟ್-ಇಂಟೆಲ್-FPGA-IP-ಡಿಸೈನ್-ಎಕ್ಸ್ample-PRODUCT

ತ್ವರಿತ ಪ್ರಾರಂಭ ಮಾರ್ಗದರ್ಶಿ

ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್ ಸಿಮ್ಯುಲೇಶನ್ ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಮತ್ತು ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಒದಗಿಸುತ್ತದೆampಸಂಕಲನ ಮತ್ತು ಯಂತ್ರಾಂಶ ಪರೀಕ್ಷೆಯನ್ನು ಬೆಂಬಲಿಸುವ le. ನೀವು ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಿದಾಗ ಮಾಜಿample, Intel Quartus® Prime IP ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಸ್ವಯಂಚಾಲಿತವಾಗಿ ರಚಿಸುತ್ತದೆ fileಹಾರ್ಡ್‌ವೇರ್‌ನಲ್ಲಿ ವಿನ್ಯಾಸವನ್ನು ಅನುಕರಿಸಲು, ಕಂಪೈಲ್ ಮಾಡಲು ಮತ್ತು ಪರೀಕ್ಷಿಸಲು ರು ಅಗತ್ಯ. ಹೆಚ್ಚುವರಿಯಾಗಿ, ಇಂಟರ್ಆಪರೇಟಿವ್ ಪರೀಕ್ಷೆಗಾಗಿ ನೀವು ಇಂಟೆಲ್ ಸಾಧನ-ನಿರ್ದಿಷ್ಟ ಅಭಿವೃದ್ಧಿ ಕಿಟ್‌ಗೆ ಸಂಕಲಿಸಿದ ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಡೌನ್‌ಲೋಡ್ ಮಾಡಬಹುದು. Intel FPGA IP ಕೂಡ ಸಂಕಲನ-ಮಾತ್ರ ಮಾಜಿ ಒಳಗೊಂಡಿದೆampಐಪಿ ಕೋರ್ ಪ್ರದೇಶ ಮತ್ತು ಸಮಯವನ್ನು ತ್ವರಿತವಾಗಿ ಅಂದಾಜು ಮಾಡಲು ನೀವು ಬಳಸಬಹುದಾದ ಯೋಜನೆ. ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ವಿನ್ಯಾಸವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆampವ್ಯಾಪಕ ಶ್ರೇಣಿಯ ನಿಯತಾಂಕಗಳೊಂದಿಗೆ le ಪೀಳಿಗೆಯ. ಆದಾಗ್ಯೂ, ವಿನ್ಯಾಸ ಮಾಜಿampಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್‌ನ ಎಲ್ಲಾ ಸಂಭಾವ್ಯ ನಿಯತಾಂಕಗಳನ್ನು les ಒಳಗೊಂಡಿರುವುದಿಲ್ಲ.

ವಿನ್ಯಾಸದ ಅಭಿವೃದ್ಧಿ ಹಂತಗಳು ಎಕ್ಸ್ample

ಕಡಿಮೆ-ಲೇಟೆನ್ಸಿ-ಇ-ಟೈಲ್-40G-ಎತರ್ನೆಟ್-ಇಂಟೆಲ್-FPGA-IP-ಡಿಸೈನ್-ಎಕ್ಸ್ample-FIG-1

ಸಂಬಂಧಿತ ಮಾಹಿತಿ

  • ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
    ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ IP ಕುರಿತು ವಿವರವಾದ ಮಾಹಿತಿಗಾಗಿ.
  • ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಬಿಡುಗಡೆ ಟಿಪ್ಪಣಿಗಳು
    IP ಬಿಡುಗಡೆ ಟಿಪ್ಪಣಿಗಳು ನಿರ್ದಿಷ್ಟ ಬಿಡುಗಡೆಯಲ್ಲಿ IP ಬದಲಾವಣೆಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತವೆ.
ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ರಚಿಸುವುದುample

ಕಾರ್ಯವಿಧಾನ

ಕಡಿಮೆ-ಲೇಟೆನ್ಸಿ-ಇ-ಟೈಲ್-40G-ಎತರ್ನೆಟ್-ಇಂಟೆಲ್-FPGA-IP-ಡಿಸೈನ್-ಎಕ್ಸ್ample-FIG-2

ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್‌ಮಾರ್ಕ್‌ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್‌ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್‌ನ ಸ್ಟ್ಯಾಂಡರ್ಡ್ ವಾರಂಟಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಕೊಂಡಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆಯಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್‌ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಹೇಳಬಹುದು.

Exampಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್‌ನಲ್ಲಿ ವಿನ್ಯಾಸ ಟ್ಯಾಬ್
ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ಸ್ಟ್ರಾಟಿಕ್ಸ್ 10 TX ಇ-ಟೈಲ್ ಟ್ರಾನ್ಸ್‌ಸಿವರ್ ಸಿಗ್ನಲ್ ಇಂಟೆಗ್ರಿಟಿ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್ ಅನ್ನು ಆಯ್ಕೆಮಾಡಿampIntel Stratix® 10 ಸಾಧನಗಳಿಗೆ le. ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ಅಜಿಲೆಕ್ಸ್ ಎಫ್-ಸರಣಿ ಟ್ರಾನ್ಸ್‌ಸಿವರ್-SoC ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್ ಆಯ್ಕೆಮಾಡಿampIntel Agilex™ ಸಾಧನಗಳಿಗೆ le.

ಕಡಿಮೆ-ಲೇಟೆನ್ಸಿ-ಇ-ಟೈಲ್-40G-ಎತರ್ನೆಟ್-ಇಂಟೆಲ್-FPGA-IP-ಡಿಸೈನ್-ಎಕ್ಸ್ample-FIG-3

ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿampಲೆ ಮತ್ತು ಟೆಸ್ಟ್‌ಬೆಂಚ್:

  1. Intel Quartus Prime Pro Edition ಸಾಫ್ಟ್‌ವೇರ್‌ನಲ್ಲಿ, ಕ್ಲಿಕ್ ಮಾಡಿ File ➤ ಹೊಸ ಪ್ರಾಜೆಕ್ಟ್ ವಿಝಾರ್ಡ್
    ಹೊಸ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರಧಾನ ಯೋಜನೆಯನ್ನು ರಚಿಸಲು, ಅಥವಾ File ➤ ಅಸ್ತಿತ್ವದಲ್ಲಿರುವ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್‌ವೇರ್ ಪ್ರಾಜೆಕ್ಟ್ ತೆರೆಯಲು ಪ್ರಾಜೆಕ್ಟ್ ತೆರೆಯಿರಿ. ಸಾಧನದ ಕುಟುಂಬ ಮತ್ತು ಸಾಧನವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ಮಾಂತ್ರಿಕ ನಿಮ್ಮನ್ನು ಕೇಳುತ್ತದೆ.
    ಗಮನಿಸಿ: ವಿನ್ಯಾಸ ಮಾಜಿample ಗುರಿ ಬೋರ್ಡ್‌ನಲ್ಲಿರುವ ಸಾಧನದೊಂದಿಗೆ ಆಯ್ಕೆಯನ್ನು ತಿದ್ದಿ ಬರೆಯುತ್ತದೆ. ವಿನ್ಯಾಸದ ಮಾಜಿ ಮೆನುವಿನಿಂದ ನೀವು ಗುರಿ ಬೋರ್ಡ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತೀರಿampEx ನಲ್ಲಿ le ಆಯ್ಕೆಗಳುample ವಿನ್ಯಾಸ ಟ್ಯಾಬ್ (ಹಂತ 8).
  2. IP ಕ್ಯಾಟಲಾಗ್‌ನಲ್ಲಿ, ಲೋ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಅನ್ನು ಪತ್ತೆ ಮಾಡಿ ಮತ್ತು ಆಯ್ಕೆಮಾಡಿ. ಹೊಸ IP ಬದಲಾವಣೆ ವಿಂಡೋ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
  3. ನಿಮ್ಮ ಕಸ್ಟಮ್ ಐಪಿ ಬದಲಾವಣೆಗೆ ಉನ್ನತ ಮಟ್ಟದ ಹೆಸರನ್ನು ಸೂಚಿಸಿ. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಐಪಿ ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಐಪಿ ಬದಲಾವಣೆಯ ಸೆಟ್ಟಿಂಗ್‌ಗಳನ್ನು a ನಲ್ಲಿ ಉಳಿಸುತ್ತದೆ file ಹೆಸರಿಸಲಾಗಿದೆ .ip.
  4. ಸರಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಐಪಿ ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
  5. IP ಟ್ಯಾಬ್‌ನಲ್ಲಿ, ನಿಮ್ಮ IP ಕೋರ್ ವ್ಯತ್ಯಾಸಕ್ಕಾಗಿ ನಿಯತಾಂಕಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
    ಗಮನಿಸಿ: ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ವಿನ್ಯಾಸ ಮಾಜಿample ಅನ್ನು ಸರಿಯಾಗಿ ಅನುಕರಿಸುವುದಿಲ್ಲ ಮತ್ತು ನೀವು ಈ ಕೆಳಗಿನ ಯಾವುದೇ ನಿಯತಾಂಕಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿದರೆ ಸರಿಯಾಗಿ ಕಾರ್ಯನಿರ್ವಹಿಸುವುದಿಲ್ಲ:
    1. ಮುನ್ನುಡಿಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಪಾಸ್-ಥ್ರೂ ಆನ್ ಮಾಡಲಾಗಿದೆ
    2. ರೆಡಿ ಲೇಟೆನ್ಸಿಯನ್ನು 3 ರ ಮೌಲ್ಯಕ್ಕೆ ಹೊಂದಿಸಲಾಗಿದೆ
    3. TX CRC ಅಳವಡಿಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಆಫ್ ಮಾಡಲಾಗಿದೆ
  6. ಮಾಜಿ ರಂದುample ವಿನ್ಯಾಸ ಟ್ಯಾಬ್, ಎಕ್ಸ್ ಅಡಿಯಲ್ಲಿample ವಿನ್ಯಾಸ Files, ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಉತ್ಪಾದಿಸಲು ಸಿಮ್ಯುಲೇಶನ್ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಮತ್ತು ಸಂಕಲನ-ಮಾತ್ರ ಮತ್ತು ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ಸಿಂಥೆಸಿಸ್ ಆಯ್ಕೆಯನ್ನು ಆಯ್ಕೆಮಾಡಿampಕಡಿಮೆ
    ಗಮನಿಸಿ: ಮಾಜಿ ರಂದುample ವಿನ್ಯಾಸ ಟ್ಯಾಬ್, ರಚಿಸಲಾದ HDL ಸ್ವರೂಪದ ಅಡಿಯಲ್ಲಿ, ವೆರಿಲೋಗ್ HDL ಮಾತ್ರ ಲಭ್ಯವಿದೆ. ಈ IP ಕೋರ್ VHDL ಅನ್ನು ಬೆಂಬಲಿಸುವುದಿಲ್ಲ.
  7. ಟಾರ್ಗೆಟ್ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್ ಅಡಿಯಲ್ಲಿ ಸ್ಟ್ರಾಟಿಕ್ಸ್ 10 ಟಿಎಕ್ಸ್ ಇ-ಟೈಲ್ ಟ್ರಾನ್ಸ್‌ಸಿವರ್ ಸಿಗ್ನಲ್ ಇಂಟೆಗ್ರಿಟಿ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್ ಅಥವಾ ಅಜಿಲೆಕ್ಸ್ ಎಫ್-ಸೀರೀಸ್ ಟ್ರಾನ್ಸ್‌ಸಿವರ್-ಎಸ್‌ಒಸಿ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್ ಆಯ್ಕೆಮಾಡಿ.
    ಗಮನಿಸಿ: ನೀವು ಆಯ್ಕೆ ಮಾಡುವ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್ ಸಾಧನದ ಆಯ್ಕೆಯನ್ನು ಹಂತದಲ್ಲಿರುವ ತಿದ್ದಿ ಬರೆಯುತ್ತದೆ
    1. ಇಂಟೆಲ್ ಸ್ಟ್ರಾಟಿಕ್ಸ್ 10 ಇ-ಟೈಲ್ ಗುರಿ ಸಾಧನ 1SG280LU3F50E3VGS1 ಆಗಿದೆ.
    2. ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಇ-ಟೈಲ್ ಸಾಧನದ ಗುರಿ AGFB014R24A2E2VR0 ಆಗಿದೆ.
  8. Ex ಅನ್ನು ರಚಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿample ವಿನ್ಯಾಸ ಬಟನ್. ಆಯ್ಕೆ Example ವಿನ್ಯಾಸ ಡೈರೆಕ್ಟರಿ ವಿಂಡೋ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
  9. ನೀವು ವಿನ್ಯಾಸವನ್ನು ಮಾರ್ಪಡಿಸಲು ಬಯಸಿದರೆ ಮಾಜಿample ಡೈರೆಕ್ಟರಿ ಪಥ ಅಥವಾ ಡಿಫಾಲ್ಟ್‌ಗಳಿಂದ ಹೆಸರು (alt_e40c3_0_example_design), ಹೊಸ ಮಾರ್ಗವನ್ನು ಬ್ರೌಸ್ ಮಾಡಿ ಮತ್ತು ಹೊಸ ವಿನ್ಯಾಸವನ್ನು ಟೈಪ್ ಮಾಡಿampಲೆ ಡೈರೆಕ್ಟರಿ ಹೆಸರು (ample_dir>).
  10. ಸರಿ ಕ್ಲಿಕ್ ಮಾಡಿ.

ಸಂಬಂಧಿತ ಮಾಹಿತಿ

  • IP ಕೋರ್ ನಿಯತಾಂಕಗಳು
    ನಿಮ್ಮ IP ಕೋರ್ ಅನ್ನು ಕಸ್ಟಮೈಸ್ ಮಾಡುವ ಕುರಿತು ಹೆಚ್ಚಿನ ಮಾಹಿತಿಯನ್ನು ಒದಗಿಸುತ್ತದೆ.
  • ಇಂಟೆಲ್ ಸ್ಟ್ರಾಟಿಕ್ಸ್ 10 ಇ-ಟೈಲ್ ಟಿಎಕ್ಸ್ ಸಿಗ್ನಲ್ ಇಂಟೆಗ್ರಿಟಿ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್
  • Intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್

ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ನಿಯತಾಂಕಗಳು

Ex ನಲ್ಲಿ ನಿಯತಾಂಕಗಳುample ವಿನ್ಯಾಸ ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್ ವಿವರಣೆ
ವಿನ್ಯಾಸವನ್ನು ಆಯ್ಕೆಮಾಡಿ ಲಭ್ಯವಿದೆ exampಐಪಿ ಪ್ಯಾರಾಮೀಟರ್ ಸೆಟ್ಟಿಂಗ್‌ಗಳಿಗಾಗಿ le ವಿನ್ಯಾಸಗಳು. ನೀವು ಪೂರ್ವನಿಗದಿ ಲೈಬ್ರರಿಯಿಂದ ವಿನ್ಯಾಸವನ್ನು ಆರಿಸಿದಾಗ, ಈ ಕ್ಷೇತ್ರವು ಆಯ್ಕೆಮಾಡಿದ ವಿನ್ಯಾಸವನ್ನು ತೋರಿಸುತ್ತದೆ.
Example ವಿನ್ಯಾಸ Files ದಿ fileವಿವಿಧ ಅಭಿವೃದ್ಧಿ ಹಂತಗಳಿಗೆ ಉತ್ಪಾದಿಸಲು ರು.

•    ಸಿಮ್ಯುಲೇಶನ್- ಅಗತ್ಯವನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ fileಮಾಜಿ ಅನ್ನು ಅನುಕರಿಸಲು ರುample ವಿನ್ಯಾಸ.

•    ಸಂಶ್ಲೇಷಣೆ- ಸಂಶ್ಲೇಷಣೆಯನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ fileರು. ಇವುಗಳನ್ನು ಬಳಸಿ fileಹಾರ್ಡ್‌ವೇರ್ ಪರೀಕ್ಷೆಗಾಗಿ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಎಡಿಷನ್ ಸಾಫ್ಟ್‌ವೇರ್‌ನಲ್ಲಿ ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಮತ್ತು ಸ್ಥಿರ ಸಮಯದ ವಿಶ್ಲೇಷಣೆಯನ್ನು ನಿರ್ವಹಿಸಲು ರು.

ರಚಿಸಿ File ಫಾರ್ಮ್ಯಾಟ್ RTL ನ ಸ್ವರೂಪ fileಸಿಮ್ಯುಲೇಶನ್‌ಗಾಗಿ s-ವೆರಿಲಾಗ್ ಅಥವಾ VHDL.
ಬೋರ್ಡ್ ಆಯ್ಕೆಮಾಡಿ ವಿನ್ಯಾಸ ಅನುಷ್ಠಾನಕ್ಕಾಗಿ ಬೆಂಬಲಿತ ಯಂತ್ರಾಂಶ. ನೀವು ಇಂಟೆಲ್ ಅಭಿವೃದ್ಧಿ ಮಂಡಳಿಯನ್ನು ಆಯ್ಕೆ ಮಾಡಿದಾಗ, ದಿ ಗುರಿ ಸಾಧನ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್‌ನಲ್ಲಿರುವ ಸಾಧನಕ್ಕೆ ಹೊಂದಿಕೆಯಾಗುವ ಸಾಧನವಾಗಿದೆ.

ಈ ಮೆನು ಲಭ್ಯವಿಲ್ಲದಿದ್ದರೆ, ನೀವು ಆಯ್ಕೆ ಮಾಡುವ ಆಯ್ಕೆಗಳಿಗೆ ಯಾವುದೇ ಬೆಂಬಲಿತ ಬೋರ್ಡ್ ಇರುವುದಿಲ್ಲ.

ಅಜಿಲೆಕ್ಸ್ ಎಫ್-ಸರಣಿ ಟ್ರಾನ್ಸ್‌ಸಿವರ್-SoC ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್: ಈ ಆಯ್ಕೆಯು ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲು ನಿಮಗೆ ಅನುಮತಿಸುತ್ತದೆampಆಯ್ಕೆಮಾಡಿದ Intel FPGA IP ಅಭಿವೃದ್ಧಿ ಕಿಟ್‌ನಲ್ಲಿ le. ಈ ಆಯ್ಕೆಯು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಆಯ್ಕೆಮಾಡುತ್ತದೆ ಗುರಿ ಸಾಧನ AGFB014R24A2E2VR0 ನ. ನಿಮ್ಮ ಬೋರ್ಡ್ ಪರಿಷ್ಕರಣೆಯು ವಿಭಿನ್ನ ಸಾಧನದ ದರ್ಜೆಯನ್ನು ಹೊಂದಿದ್ದರೆ, ನೀವು ಗುರಿ ಸಾಧನವನ್ನು ಬದಲಾಯಿಸಬಹುದು.

ಮುಂದುವರೆಯಿತು…
ಪ್ಯಾರಾಮೀಟರ್ ವಿವರಣೆ
  ಸ್ಟ್ರಾಟಿಕ್ಸ್ 10 TX ಇ-ಟೈಲ್ ಟ್ರಾನ್ಸ್‌ಸಿವರ್ ಸಿಗ್ನಲ್ ಇಂಟೆಗ್ರಿಟಿ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್: ಈ ಆಯ್ಕೆಯು ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲು ನಿಮಗೆ ಅನುಮತಿಸುತ್ತದೆampಆಯ್ಕೆಮಾಡಿದ Intel FPGA IP ಅಭಿವೃದ್ಧಿ ಕಿಟ್‌ನಲ್ಲಿ le. ಈ ಆಯ್ಕೆಯು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಆಯ್ಕೆಮಾಡುತ್ತದೆ ಗುರಿ ಸಾಧನ 1ST280EY2F55E2VG ನ. ನಿಮ್ಮ ಬೋರ್ಡ್ ಪರಿಷ್ಕರಣೆಯು ವಿಭಿನ್ನ ಸಾಧನದ ದರ್ಜೆಯನ್ನು ಹೊಂದಿದ್ದರೆ, ನೀವು ಗುರಿ ಸಾಧನವನ್ನು ಬದಲಾಯಿಸಬಹುದು.

ಯಾವುದೂ ಇಲ್ಲ: ಈ ಆಯ್ಕೆಯು ವಿನ್ಯಾಸದ ಮಾಜಿ ಯಂತ್ರಾಂಶದ ಅಂಶಗಳನ್ನು ಹೊರತುಪಡಿಸುತ್ತದೆampಲೆ.

ಡೈರೆಕ್ಟರಿ ರಚನೆ
ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ IP ಕೋರ್ ವಿನ್ಯಾಸ ಮಾಜಿample file ಡೈರೆಕ್ಟರಿಗಳು ಈ ಕೆಳಗಿನವುಗಳನ್ನು ರಚಿಸಲಾಗಿದೆ fileವಿನ್ಯಾಸಕ್ಕೆ ರುampಲೆ.

ಡೈರೆಕ್ಟರಿ ಸ್ಟ್ರಕ್ಚರ್ ಫಾರ್ ದಿ ಜೆನರೇಟೆಡ್ ಡಿಸೈನ್ ಎಕ್ಸ್ample

ಕಡಿಮೆ-ಲೇಟೆನ್ಸಿ-ಇ-ಟೈಲ್-40G-ಎತರ್ನೆಟ್-ಇಂಟೆಲ್-FPGA-IP-ಡಿಸೈನ್-ಎಕ್ಸ್ample-FIG-4

  • ಸಿಮ್ಯುಲೇಶನ್ files (ಸಿಮ್ಯುಲೇಶನ್‌ಗಾಗಿ ಮಾತ್ರ ಪರೀಕ್ಷಾ ಬೆಂಚ್) ಇದೆample_dir>/ಉದಾample_testbench.
  • ಸಂಕಲನ-ಮಾತ್ರ ಮಾಜಿample ವಿನ್ಯಾಸವು ನೆಲೆಗೊಂಡಿದೆample_dir>/ compilation_test_design.
  • ಹಾರ್ಡ್‌ವೇರ್ ಕಾನ್ಫಿಗರೇಶನ್ ಮತ್ತು ಪರೀಕ್ಷೆ files (ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಉದಾampಲೆ) ನಲ್ಲಿ ನೆಲೆಗೊಂಡಿವೆample_dir>/hardware_test_design

ಡೈರೆಕ್ಟರಿ ಮತ್ತು File ವಿವರಣೆಗಳು

File ಹೆಸರುಗಳು ವಿವರಣೆ
eth_ex_40g.qpf ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರಧಾನ ಯೋಜನೆ file.
eth_ex_40g.qsf ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರಾಜೆಕ್ಟ್ ಸೆಟ್ಟಿಂಗ್‌ಗಳು file.
ಮುಂದುವರೆಯಿತು…
File ಹೆಸರುಗಳು ವಿವರಣೆ
eth_ex_40g.sdc ಸಾರಾಂಶ* ವಿನ್ಯಾಸ ನಿರ್ಬಂಧಗಳು file. ನೀವು ಇದನ್ನು ನಕಲಿಸಬಹುದು ಮತ್ತು ಮಾರ್ಪಡಿಸಬಹುದು file ನಿಮ್ಮ ಸ್ವಂತ ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ವಿನ್ಯಾಸಕ್ಕಾಗಿ.
eth_ex_40g.srf ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರಾಜೆಕ್ಟ್ ಸಂದೇಶ ನಿಗ್ರಹ ನಿಯಮ file.
eth_ex_40g.v ಉನ್ನತ ಮಟ್ಟದ ವೆರಿಲಾಗ್ HDL ವಿನ್ಯಾಸ ಮಾಜಿample file.
eth_ex_40g_clock.sdc ಸಾರಾಂಶ ವಿನ್ಯಾಸ ನಿರ್ಬಂಧಗಳು file ಗಡಿಯಾರಗಳಿಗಾಗಿ.
ಸಾಮಾನ್ಯ/ ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಉದಾample ಬೆಂಬಲ files.
hwtest/main.tcl ಮುಖ್ಯ file ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಪ್ರವೇಶಿಸಲು.

ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ಅನುಕರಿಸುವುದುampಲೆ ಟೆಸ್ಟ್ಬೆಂಚ್
ಕಮಾಂಡ್ ಪ್ರಾಂಪ್ಟ್‌ನಿಂದ ಸಿಮ್ಯುಲೇಶನ್ ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಚಲಾಯಿಸುವ ಮೂಲಕ ನೀವು ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಬಹುದು ಮತ್ತು ಅನುಕರಿಸಬಹುದು.

ಕಡಿಮೆ-ಲೇಟೆನ್ಸಿ-ಇ-ಟೈಲ್-40G-ಎತರ್ನೆಟ್-ಇಂಟೆಲ್-FPGA-IP-ಡಿಸೈನ್-ಎಕ್ಸ್ample-FIG-5

  1. ಕಮಾಂಡ್ ಪ್ರಾಂಪ್ಟಿನಲ್ಲಿ, ವರ್ಕಿಂಗ್ ಡೈರೆಕ್ಟರಿಯನ್ನು ಬದಲಾಯಿಸಿample_dir>/ಉದಾample_testbench.
  2. ನಿಮ್ಮ ಆಯ್ಕೆಯ ಬೆಂಬಲಿತ ಸಿಮ್ಯುಲೇಟರ್‌ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ರನ್ ಮಾಡಿ. ಸ್ಕ್ರಿಪ್ಟ್ ಸಿಮ್ಯುಲೇಟರ್‌ನಲ್ಲಿ ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಕಂಪೈಲ್ ಮಾಡುತ್ತದೆ ಮತ್ತು ರನ್ ಮಾಡುತ್ತದೆ

ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಅನುಕರಿಸಲು ಸೂಚನೆಗಳು

ಸಿಮ್ಯುಲೇಟರ್ ಸೂಚನೆಗಳು
ಮಾಡೆಲ್ ಸಿಮ್* ಆಜ್ಞಾ ಸಾಲಿನಲ್ಲಿ, vsim -do run_vsim.do ಎಂದು ಟೈಪ್ ಮಾಡಿ.

ModelSim GUI ಅನ್ನು ತರದೆಯೇ ನೀವು ಅನುಕರಿಸಲು ಬಯಸಿದರೆ, vsim -c -do run_vsim.do ಎಂದು ಟೈಪ್ ಮಾಡಿ.

ಗಮನಿಸಿ: ModelSim-AE ಮತ್ತು ModelSim-ASE ಸಿಮ್ಯುಲೇಟರ್‌ಗಳು ಈ IP ಕೋರ್ ಅನ್ನು ಅನುಕರಿಸಲು ಸಾಧ್ಯವಿಲ್ಲ. ModelSim SE ನಂತಹ ಮತ್ತೊಂದು ಬೆಂಬಲಿತ ModelSim ಸಿಮ್ಯುಲೇಟರ್ ಅನ್ನು ನೀವು ಬಳಸಬೇಕು.

VCS* ಆಜ್ಞಾ ಸಾಲಿನಲ್ಲಿ, sh run_vcs.sh ಎಂದು ಟೈಪ್ ಮಾಡಿ
VCS MX ಆಜ್ಞಾ ಸಾಲಿನಲ್ಲಿ, sh run_vcsmx.sh ಎಂದು ಟೈಪ್ ಮಾಡಿ.

ವಿನ್ಯಾಸವು ವೆರಿಲಾಗ್ ಎಚ್‌ಡಿಎಲ್ ಮತ್ತು ಸಿಸ್ಟಂ ವೆರಿಲಾಗ್ ವಿಎಚ್‌ಡಿಎಲ್ ಅನ್ನು ಒಳಗೊಂಡಿರುವಾಗ ಈ ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಬಳಸಿ.

NCSim ಆಜ್ಞಾ ಸಾಲಿನಲ್ಲಿ, sh run_ncsim.sh ಎಂದು ಟೈಪ್ ಮಾಡಿ
Xcelium* ಆಜ್ಞಾ ಸಾಲಿನಲ್ಲಿ, sh run_xcelium.sh ಎಂದು ಟೈಪ್ ಮಾಡಿ

ಯಶಸ್ವಿ ಸಿಮ್ಯುಲೇಶನ್ ಈ ಕೆಳಗಿನ ಸಂದೇಶದೊಂದಿಗೆ ಕೊನೆಗೊಳ್ಳುತ್ತದೆ: ಸಿಮ್ಯುಲೇಶನ್ ರವಾನಿಸಲಾಗಿದೆ. ಅಥವಾ ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಪೂರ್ಣಗೊಂಡಿದೆ. ಯಶಸ್ವಿಯಾಗಿ ಪೂರ್ಣಗೊಂಡ ನಂತರ, ನೀವು ಫಲಿತಾಂಶಗಳನ್ನು ವಿಶ್ಲೇಷಿಸಬಹುದು.

ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ಕಂಪೈಲ್ ಮಾಡುವುದು ಮತ್ತು ಕಾನ್ಫಿಗರ್ ಮಾಡುವುದುampಹಾರ್ಡ್‌ವೇರ್‌ನಲ್ಲಿ ಲೆ
Intel FPGA IP ಕೋರ್ ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ನಿಮಗೆ ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಮತ್ತು ಕಾನ್ಫಿಗರ್ ಮಾಡಲು ಅನುಮತಿಸುತ್ತದೆampಗುರಿ ಅಭಿವೃದ್ಧಿ ಕಿಟ್‌ನಲ್ಲಿ ಲೆ

ಕಡಿಮೆ-ಲೇಟೆನ್ಸಿ-ಇ-ಟೈಲ್-40G-ಎತರ್ನೆಟ್-ಇಂಟೆಲ್-FPGA-IP-ಡಿಸೈನ್-ಎಕ್ಸ್ample-FIG-6

ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಮತ್ತು ಕಾನ್ಫಿಗರ್ ಮಾಡಲು ಮಾಜಿampಹಾರ್ಡ್‌ವೇರ್‌ನಲ್ಲಿ, ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:

  1. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಸಾಫ್ಟ್‌ವೇರ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸಿ ಮತ್ತು ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಸಂಸ್ಕರಣೆ ➤ ಪ್ರಾರಂಭ ಸಂಕಲನವನ್ನು ಆಯ್ಕೆಮಾಡಿ.
  2. ನೀವು SRAM ವಸ್ತುವನ್ನು ರಚಿಸಿದ ನಂತರ file .sof, ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿampಇಂಟೆಲ್ ಸಾಧನದಲ್ಲಿ le:
    1. ಪರಿಕರಗಳು ➤ ಪ್ರೋಗ್ರಾಮರ್ ಆಯ್ಕೆಮಾಡಿ.
    2. ಪ್ರೋಗ್ರಾಮರ್ನಲ್ಲಿ, ಹಾರ್ಡ್ವೇರ್ ಸೆಟಪ್ ಅನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ.
    3. ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಸಾಧನವನ್ನು ಆಯ್ಕೆಮಾಡಿ.
    4. ನಿಮ್ಮ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಸೆಷನ್‌ಗೆ ಇಂಟೆಲ್ ಟಿಎಕ್ಸ್ ಬೋರ್ಡ್ ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ ಮತ್ತು ಸೇರಿಸಿ.
    5. ಮೋಡ್ ಅನ್ನು J ಗೆ ಹೊಂದಿಸಲಾಗಿದೆ ಎಂದು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿTAG.
    6. ಇಂಟೆಲ್ ಸಾಧನವನ್ನು ಆಯ್ಕೆಮಾಡಿ ಮತ್ತು ಸಾಧನವನ್ನು ಸೇರಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪ್ರೋಗ್ರಾಮರ್ ನಿಮ್ಮ ಬೋರ್ಡ್‌ನಲ್ಲಿರುವ ಸಾಧನಗಳ ನಡುವಿನ ಸಂಪರ್ಕಗಳ ಬ್ಲಾಕ್ ರೇಖಾಚಿತ್ರವನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ.
    7. ನಿಮ್ಮ .sof ಜೊತೆಗಿನ ಸಾಲಿನಲ್ಲಿ, .sof ಗಾಗಿ ಬಾಕ್ಸ್ ಅನ್ನು ಪರಿಶೀಲಿಸಿ.
    8. .sof ಗಾಗಿ ಪ್ರೋಗ್ರಾಂ/ಕಾನ್ಫಿಗರ್ ಆಯ್ಕೆಯನ್ನು ಆನ್ ಮಾಡಿ.
    9. ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ.

ಸಂಬಂಧಿತ ಮಾಹಿತಿ

  • ಕ್ರಮಾನುಗತ ಮತ್ತು ತಂಡ-ಆಧಾರಿತ ವಿನ್ಯಾಸಕ್ಕಾಗಿ ಹೆಚ್ಚುತ್ತಿರುವ ಸಂಕಲನ
  • ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಇಂಟೆಲ್ FPGA ಸಾಧನಗಳು

ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸದಲ್ಲಿ ಟಾರ್ಗೆಟ್ ಸಾಧನವನ್ನು ಬದಲಾಯಿಸುವುದು ಎಕ್ಸ್ample
ನಿಮ್ಮ ಗುರಿ ಸಾಧನವಾಗಿ ನೀವು Stratix 10 TX E-Tile ಟ್ರಾನ್ಸ್‌ಸಿವರ್ ಸಿಗ್ನಲ್ ಇಂಟೆಗ್ರಿಟಿ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಿಕೊಂಡಿದ್ದರೆ, ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ E-Tile 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್ ಹಾರ್ಡ್‌ವೇರ್ ಎಕ್ಸ್ ಅನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆampಗುರಿ ಸಾಧನ 1ST280EY2F55E2VG ಗಾಗಿ ವಿನ್ಯಾಸ. ನೀವು Agilex F-series Transceiver-SoC ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್ ಅನ್ನು ನಿಮ್ಮ ಗುರಿ ಸಾಧನವಾಗಿ ಆಯ್ಕೆಮಾಡಿದರೆ, ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಈಥರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್ ಹಾರ್ಡ್‌ವೇರ್ ಎಕ್ಸ್ ಅನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆampಗುರಿ ಸಾಧನ AGFB014R24A2E2VR0 ಗಾಗಿ ವಿನ್ಯಾಸ. ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಗುರಿ ಸಾಧನವು ನಿಮ್ಮ ಅಭಿವೃದ್ಧಿ ಕಿಟ್‌ನಲ್ಲಿರುವ ಸಾಧನಕ್ಕಿಂತ ಭಿನ್ನವಾಗಿರಬಹುದು. ನಿಮ್ಮ ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸದಲ್ಲಿ ಗುರಿ ಸಾಧನವನ್ನು ಬದಲಾಯಿಸಲು ಮಾಜಿampಲೆ, ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:

  1. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಸಾಫ್ಟ್‌ವೇರ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸಿ ಮತ್ತು ಹಾರ್ಡ್‌ವೇರ್ ಪರೀಕ್ಷಾ ಯೋಜನೆಯನ್ನು ತೆರೆಯಿರಿ file /hardware_test_design/eth_ex_40g.qpf.
  2. ನಿಯೋಜನೆ ಮೆನುವಿನಲ್ಲಿ, ಸಾಧನವನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ. ಸಾಧನ ಸಂವಾದ ಪೆಟ್ಟಿಗೆ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
  3. ಸಾಧನ ಸಂವಾದ ಪೆಟ್ಟಿಗೆಯಲ್ಲಿ, ನಿಮ್ಮ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್‌ನಲ್ಲಿನ ಸಾಧನದ ಭಾಗ ಸಂಖ್ಯೆಗೆ ಹೊಂದಿಕೆಯಾಗುವ ಇ-ಟೈಲ್ ಆಧಾರಿತ ಗುರಿ ಸಾಧನ ಕೋಷ್ಟಕವನ್ನು ಆಯ್ಕೆಮಾಡಿ. Intel ನಲ್ಲಿ ಅಭಿವೃದ್ಧಿ ಕಿಟ್ ಲಿಂಕ್ ಅನ್ನು ನೋಡಿ webಹೆಚ್ಚಿನ ಮಾಹಿತಿಗಾಗಿ ಸೈಟ್.
  4. ಕೆಳಗಿನ ಚಿತ್ರದಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ ನೀವು ಸಾಧನವನ್ನು ಆಯ್ಕೆ ಮಾಡಿದಾಗ ಪ್ರಾಂಪ್ಟ್ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ. ರಚಿಸಿದ ಪಿನ್ ಅಸೈನ್‌ಮೆಂಟ್‌ಗಳು ಮತ್ತು I/O ಅಸೈನ್‌ಮೆಂಟ್‌ಗಳನ್ನು ಸಂರಕ್ಷಿಸಲು ಇಲ್ಲ ಆಯ್ಕೆಮಾಡಿ.
    ಸಾಧನ ಆಯ್ಕೆಗಾಗಿ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರಧಾನ ಪ್ರಾಂಪ್ಟ್ಕಡಿಮೆ-ಲೇಟೆನ್ಸಿ-ಇ-ಟೈಲ್-40G-ಎತರ್ನೆಟ್-ಇಂಟೆಲ್-FPGA-IP-ಡಿಸೈನ್-ಎಕ್ಸ್ample-FIG-7
  5. ನಿಮ್ಮ ವಿನ್ಯಾಸದ ಸಂಪೂರ್ಣ ಸಂಕಲನವನ್ನು ನಿರ್ವಹಿಸಿ.

ನೀವು ಈಗ ನಿಮ್ಮ ಹಾರ್ಡ್‌ವೇರ್‌ನಲ್ಲಿ ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಬಹುದು.

ಸಂಬಂಧಿತ ಮಾಹಿತಿ

  • ಇಂಟೆಲ್ ಸ್ಟ್ರಾಟಿಕ್ಸ್ 10 ಇ-ಟೈಲ್ ಟಿಎಕ್ಸ್ ಸಿಗ್ನಲ್ ಇಂಟೆಗ್ರಿಟಿ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್
  • Intel Agilex F-Series FPGA ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್

ಹಾರ್ಡ್‌ವೇರ್‌ನಲ್ಲಿ ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲಾಗುತ್ತಿದೆ
ನೀವು ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್ ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಿದ ನಂತರample ಮತ್ತು ಅದನ್ನು ನಿಮ್ಮ ಇಂಟೆಲ್ ಸಾಧನದಲ್ಲಿ ಕಾನ್ಫಿಗರ್ ಮಾಡಿ, ನೀವು IP ಕೋರ್ ಮತ್ತು ಅದರ ಎಂಬೆಡೆಡ್ ಸ್ಥಳೀಯ PHY IP ಕೋರ್ ರೆಜಿಸ್ಟರ್‌ಗಳನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಲು ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಬಳಸಬಹುದು. ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಆನ್ ಮಾಡಲು ಮತ್ತು ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲು ಮಾಜಿampಲೆ, ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:

  1. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಸಾಫ್ಟ್‌ವೇರ್‌ನಲ್ಲಿ, ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸಲು ಪರಿಕರಗಳು ➤ ಸಿಸ್ಟಮ್ ಡೀಬಗ್ ಮಾಡುವ ಪರಿಕರಗಳು ➤ ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಿ.
  2. Tcl ಕನ್ಸೋಲ್ ಪೇನ್‌ನಲ್ಲಿ, ಡೈರೆಕ್ಟರಿಯನ್ನು /hardware_test_design/hwtest ಗೆ ಬದಲಾಯಿಸಲು cd hwtest ಎಂದು ಟೈಪ್ ಮಾಡಿ.
  3. J ಗೆ ಸಂಪರ್ಕವನ್ನು ತೆರೆಯಲು source main.tcl ಎಂದು ಟೈಪ್ ಮಾಡಿTAG ಮಾಸ್ಟರ್.

ಹೆಚ್ಚುವರಿ ವಿನ್ಯಾಸ ಮಾಜಿampIP ಕೋರ್ ಅನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಲು le ಕಮಾಂಡ್‌ಗಳು ಲಭ್ಯವಿದೆ:

  • chkphy_status: ಗಡಿಯಾರದ ಆವರ್ತನಗಳನ್ನು ಮತ್ತು PHY ಲಾಕ್ ಸ್ಥಿತಿಯನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ.
  • chkmac_stats: MAC ಅಂಕಿಅಂಶಗಳ ಕೌಂಟರ್‌ಗಳಲ್ಲಿ ಮೌಲ್ಯಗಳನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ.
  • clear_all_stats: IP ಕೋರ್ ಅಂಕಿಅಂಶಗಳ ಕೌಂಟರ್‌ಗಳನ್ನು ತೆರವುಗೊಳಿಸುತ್ತದೆ.
  • start_pkt_gen: ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸುತ್ತದೆ.
  • stop_pkt_gen: ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ನಿಲ್ಲಿಸುತ್ತದೆ.
  • sys_reset_digital_analog: ಸಿಸ್ಟಮ್ ಮರುಹೊಂದಿಕೆ.
  • ಲೂಪ್_ಆನ್: ಆಂತರಿಕ ಸರಣಿ ಲೂಪ್‌ಬ್ಯಾಕ್ ಅನ್ನು ಆನ್ ಮಾಡುತ್ತದೆ
  • ಲೂಪ್_ಆಫ್: ಆಂತರಿಕ ಸರಣಿ ಲೂಪ್‌ಬ್ಯಾಕ್ ಅನ್ನು ಆಫ್ ಮಾಡುತ್ತದೆ.
  • reg_read : ನಲ್ಲಿ IP ಕೋರ್ ರಿಜಿಸ್ಟರ್ ಮೌಲ್ಯವನ್ನು ಹಿಂತಿರುಗಿಸುತ್ತದೆ .
  • reg_write : ಬರೆಯುತ್ತಾರೆ ವಿಳಾಸದಲ್ಲಿ IP ಕೋರ್ ರಿಜಿಸ್ಟರ್‌ಗೆ .

ಡಿಸೈನ್ ಎಕ್ಸ್‌ನ ಹಾರ್ಡ್‌ವೇರ್ ಟೆಸ್ಟಿಂಗ್ ವಿಭಾಗದಲ್ಲಿ ಪರೀಕ್ಷಾ ವಿಧಾನವನ್ನು ಅನುಸರಿಸಿampಲೆ ಮತ್ತು ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್‌ನಲ್ಲಿ ಪರೀಕ್ಷಾ ಫಲಿತಾಂಶಗಳನ್ನು ಗಮನಿಸಿ.

ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್‌ನೊಂದಿಗೆ ವಿನ್ಯಾಸಗಳನ್ನು ವಿಶ್ಲೇಷಿಸುವುದು ಮತ್ತು ಡೀಬಗ್ ಮಾಡುವುದು

ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಲೆ ವಿವರಣೆ

ಇ-ಟೈಲ್ ಆಧಾರಿತ 40G ಎತರ್ನೆಟ್ ವಿನ್ಯಾಸ ಮಾಜಿample ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ ಎಫ್‌ಪಿಜಿಎ ಐಪಿ ಕೋರ್‌ನ ಕಾರ್ಯಗಳನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ, ಇ-ಟೈಲ್ ಆಧಾರಿತ ಟ್ರಾನ್ಸ್‌ಸಿವರ್ ಇಂಟರ್ಫೇಸ್ ಐಇಇಇ 802.3 ಬಿಎ ಪ್ರಮಾಣಿತ CAUI-4 ನಿರ್ದಿಷ್ಟತೆಗೆ ಅನುಗುಣವಾಗಿರುತ್ತದೆ. ನೀವು ಎಕ್ಸ್‌ನಿಂದ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಬಹುದುampಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್‌ನಲ್ಲಿ ವಿನ್ಯಾಸ ಟ್ಯಾಬ್.
ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ಮಾಜಿampಉದಾಹರಣೆಗೆ, ನಿಮ್ಮ ಅಂತಿಮ ಉತ್ಪನ್ನದಲ್ಲಿ ನೀವು ಉತ್ಪಾದಿಸಲು ಉದ್ದೇಶಿಸಿರುವ IP ಕೋರ್ ವ್ಯತ್ಯಾಸಕ್ಕಾಗಿ ನೀವು ಮೊದಲು ನಿಯತಾಂಕ ಮೌಲ್ಯಗಳನ್ನು ಹೊಂದಿಸಬೇಕು. ವಿನ್ಯಾಸವನ್ನು ಉತ್ಪಾದಿಸುವುದು ಮಾಜಿample IP ಕೋರ್ನ ನಕಲನ್ನು ರಚಿಸುತ್ತದೆ; ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಮತ್ತು ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಮಾಜಿample ಈ ಬದಲಾವಣೆಯನ್ನು DUT ಆಗಿ ಬಳಸುತ್ತಾರೆ. ನಿಮ್ಮ ಅಂತಿಮ ಉತ್ಪನ್ನದಲ್ಲಿನ ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯಗಳನ್ನು ಹೊಂದಿಸಲು DUT ಗಾಗಿ ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯಗಳನ್ನು ನೀವು ಹೊಂದಿಸದಿದ್ದರೆ, ವಿನ್ಯಾಸ ಮಾಜಿampನೀವು ಉತ್ಪಾದಿಸುವ le ನೀವು ಉದ್ದೇಶಿಸಿರುವ IP ಕೋರ್ ವ್ಯತ್ಯಾಸವನ್ನು ವ್ಯಾಯಾಮ ಮಾಡುವುದಿಲ್ಲ.

ಗಮನಿಸಿ:
ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಐಪಿ ಕೋರ್‌ನ ಮೂಲಭೂತ ಪರೀಕ್ಷೆಯನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ. ಇದು ಪೂರ್ಣ ಪರಿಶೀಲನಾ ಪರಿಸರಕ್ಕೆ ಬದಲಿಯಾಗಿರಲು ಉದ್ದೇಶಿಸಿಲ್ಲ. ಸಿಮ್ಯುಲೇಶನ್ ಮತ್ತು ಹಾರ್ಡ್‌ವೇರ್‌ನಲ್ಲಿ ನಿಮ್ಮ ಸ್ವಂತ ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ವಿನ್ಯಾಸದ ಹೆಚ್ಚು ವ್ಯಾಪಕವಾದ ಪರಿಶೀಲನೆಯನ್ನು ನೀವು ನಿರ್ವಹಿಸಬೇಕು.

ವೈಶಿಷ್ಟ್ಯಗಳು
  • Intel Stratix 40 ಅಥವಾ Intel Agilex ಸಾಧನವನ್ನು ಬಳಸಿಕೊಂಡು E-ಟೈಲ್ ಟ್ರಾನ್ಸ್‌ಸಿವರ್‌ಗಾಗಿ 10G ಎತರ್ನೆಟ್ MAC/PCS IP ಕೋರ್ ಅನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ.
  • ಪೂರ್ವಭಾವಿ ಪಾಸ್-ಥ್ರೂ ಮತ್ತು ಲಿಂಕ್ ತರಬೇತಿಯನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ.
  • ವಿನ್ಯಾಸ ಮಾಜಿ ಉತ್ಪಾದಿಸುತ್ತದೆampMAC ಅಂಕಿಅಂಶಗಳ ಕೌಂಟರ್‌ಗಳ ವೈಶಿಷ್ಟ್ಯದೊಂದಿಗೆ le.
  • ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಒದಗಿಸುತ್ತದೆ.

ಹಾರ್ಡ್ವೇರ್ ಮತ್ತು ಸಾಫ್ಟ್ವೇರ್ ಅವಶ್ಯಕತೆಗಳು
ಮಾಜಿ ಪರೀಕ್ಷಿಸಲುample ವಿನ್ಯಾಸ, ಕೆಳಗಿನ ಯಂತ್ರಾಂಶ ಮತ್ತು ಸಾಫ್ಟ್‌ವೇರ್ ಬಳಸಿ:

  • ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಸಾಫ್ಟ್‌ವೇರ್
  • ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್
  • ModelSim, VCS, VCS MX, NCSim, ಅಥವಾ Xcelium ಸಿಮ್ಯುಲೇಟರ್
  • ಇಂಟೆಲ್ ಸ್ಟ್ರಾಟಿಕ್ಸ್ 10 ಟಿಎಕ್ಸ್ ಇ-ಟೈಲ್ ಟ್ರಾನ್ಸ್‌ಸಿವರ್ ಸಿಗ್ನಲ್ ಇಂಟೆಗ್ರಿಟಿ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್ ಅಥವಾ ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಎಫ್-ಸರಣಿ ಟ್ರಾನ್ಸ್‌ಸಿವರ್-ಎಸ್‌ಒಸಿ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್

ಕ್ರಿಯಾತ್ಮಕ ವಿವರಣೆ
ಈ ವಿಭಾಗವು ಇ-ಟೈಲ್ ಆಧಾರಿತ ಟ್ರಾನ್ಸ್‌ಸಿವರ್‌ನಲ್ಲಿ ಇಂಟೆಲ್ ಸಾಧನವನ್ನು ಬಳಸಿಕೊಂಡು 40G ಎತರ್ನೆಟ್ MAC/PCS IP ಕೋರ್ ಅನ್ನು ವಿವರಿಸುತ್ತದೆ. ಪ್ರಸರಣ ದಿಕ್ಕಿನಲ್ಲಿ, MAC ಕ್ಲೈಂಟ್ ಫ್ರೇಮ್‌ಗಳನ್ನು ಸ್ವೀಕರಿಸುತ್ತದೆ ಮತ್ತು ಇಂಟರ್-ಪ್ಯಾಕೆಟ್ ಗ್ಯಾಪ್ (IPG), ಪೀಠಿಕೆ, ಫ್ರೇಮ್ ಡಿಲಿಮಿಟರ್ ಪ್ರಾರಂಭ (SFD), ಪ್ಯಾಡಿಂಗ್ ಮತ್ತು CRC ಬಿಟ್‌ಗಳನ್ನು PHY ಗೆ ರವಾನಿಸುವ ಮೊದಲು ಸೇರಿಸುತ್ತದೆ. ಮಾಧ್ಯಮದ ಮೂಲಕ ರಿಮೋಟ್ ಎಂಡ್‌ಗೆ ವಿಶ್ವಾಸಾರ್ಹ ಪ್ರಸರಣಕ್ಕೆ ಅಗತ್ಯವಿರುವಂತೆ PHY MAC ಫ್ರೇಮ್ ಅನ್ನು ಎನ್ಕೋಡ್ ಮಾಡುತ್ತದೆ. ಸ್ವೀಕರಿಸುವ ದಿಕ್ಕಿನಲ್ಲಿ, PHY MAC ಗೆ ಫ್ರೇಮ್‌ಗಳನ್ನು ರವಾನಿಸುತ್ತದೆ. MAC PHY ನಿಂದ ಫ್ರೇಮ್‌ಗಳನ್ನು ಸ್ವೀಕರಿಸುತ್ತದೆ, ಚೆಕ್‌ಗಳನ್ನು ನಿರ್ವಹಿಸುತ್ತದೆ, CRC, ಪೀಠಿಕೆ ಮತ್ತು SFD ಅನ್ನು ತೆಗೆದುಹಾಕುತ್ತದೆ ಮತ್ತು ಉಳಿದ ಫ್ರೇಮ್ ಅನ್ನು ಕ್ಲೈಂಟ್‌ಗೆ ರವಾನಿಸುತ್ತದೆ.

ಸಿಮ್ಯುಲೇಶನ್

ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಐಪಿ ಕೋರ್ ಮೂಲಕ ಸಂಚಾರವನ್ನು ಕಳುಹಿಸುತ್ತದೆ, ಟ್ರಾನ್ಸ್‌ಮಿಟ್ ಸೈಡ್ ಅನ್ನು ವ್ಯಾಯಾಮ ಮಾಡುತ್ತದೆ ಮತ್ತು ಐಪಿ ಕೋರ್‌ನ ಭಾಗವನ್ನು ಸ್ವೀಕರಿಸುತ್ತದೆ.

ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ಬ್ಲಾಕ್ ರೇಖಾಚಿತ್ರ

ಕಡಿಮೆ-ಲೇಟೆನ್ಸಿ-ಇ-ಟೈಲ್-40G-ಎತರ್ನೆಟ್-ಇಂಟೆಲ್-FPGA-IP-ಡಿಸೈನ್-ಎಕ್ಸ್ample-FIG-8

ಸಿಮ್ಯುಲೇಶನ್ ವಿನ್ಯಾಸ ಉದಾampಉನ್ನತ ಮಟ್ಟದ ಪರೀಕ್ಷೆ file Basic_avl_tb_top.sv ಆಗಿದೆ. ಈ file PHY ಗೆ 156.25 Mhz ಗಡಿಯಾರದ ಉಲ್ಲೇಖವನ್ನು clk_ref ಒದಗಿಸುತ್ತದೆ. ಇದು 10 ಪ್ಯಾಕೆಟ್‌ಗಳನ್ನು ಕಳುಹಿಸುವ ಮತ್ತು ಸ್ವೀಕರಿಸುವ ಕಾರ್ಯವನ್ನು ಒಳಗೊಂಡಿದೆ.

ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಕೋರ್ ಟೆಸ್ಟ್‌ಬೆಂಚ್ File ವಿವರಣೆಗಳು

File ಹೆಸರುಗಳು ವಿವರಣೆ
ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ Files
Basic_avl_tb_top.sv ಉನ್ನತ ಮಟ್ಟದ ಪರೀಕ್ಷಾ ಬೆಂಚ್ file. ಟೆಸ್ಟ್‌ಬೆಂಚ್ DUT ಅನ್ನು ಸ್ಥಾಪಿಸುತ್ತದೆ ಮತ್ತು ಪ್ಯಾಕೆಟ್‌ಗಳನ್ನು ಉತ್ಪಾದಿಸಲು ಮತ್ತು ಸ್ವೀಕರಿಸಲು ವೆರಿಲಾಗ್ HDL ಕಾರ್ಯಗಳನ್ನು ನಡೆಸುತ್ತದೆ.
Basic_avl_tb_top_nc.sv ಉನ್ನತ ಮಟ್ಟದ ಪರೀಕ್ಷಾ ಬೆಂಚ್ file NCSim ಸಿಮ್ಯುಲೇಟರ್‌ನೊಂದಿಗೆ ಹೊಂದಿಕೊಳ್ಳುತ್ತದೆ.
Basic_avl_tb_top_msim.sv ಉನ್ನತ ಮಟ್ಟದ ಪರೀಕ್ಷಾ ಬೆಂಚ್ file ಮಾಡೆಲ್‌ಸಿಮ್ ಸಿಮ್ಯುಲೇಟರ್‌ನೊಂದಿಗೆ ಹೊಂದಿಕೊಳ್ಳುತ್ತದೆ.
ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಸ್ಕ್ರಿಪ್ಟ್‌ಗಳು
run_vsim.do ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಮೆಂಟರ್ ಗ್ರಾಫಿಕ್ಸ್* ಮಾಡೆಲ್‌ಸಿಮ್ ಸ್ಕ್ರಿಪ್ಟ್.
ರನ್_vcs.sh ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಸಿನೊಪ್ಸಿಸ್ VCS ಸ್ಕ್ರಿಪ್ಟ್.
ಮುಂದುವರೆಯಿತು…
File ಹೆಸರುಗಳು ವಿವರಣೆ
ರನ್_vcsmx.sh ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಸಿನೊಪ್ಸಿಸ್ VCS MX ಸ್ಕ್ರಿಪ್ಟ್ (Verilog HDL ಮತ್ತು ಸಿಸ್ಟಮ್ ವೆರಿಲಾಗ್ ಅನ್ನು VHDL ನೊಂದಿಗೆ ಸಂಯೋಜಿಸಲಾಗಿದೆ).
run_ncsim.sh ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಕ್ಯಾಡೆನ್ಸ್ NCSim ಸ್ಕ್ರಿಪ್ಟ್.
ರನ್_xcelium.sh ಪರೀಕ್ಷಾ ಬೆಂಚ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಕ್ಯಾಡೆನ್ಸ್ Xcelium ಸ್ಕ್ರಿಪ್ಟ್.

ಯಶಸ್ವಿ ಪರೀಕ್ಷಾ ಓಟವು ಈ ಕೆಳಗಿನ ನಡವಳಿಕೆಯನ್ನು ದೃಢೀಕರಿಸುವ ಔಟ್‌ಪುಟ್ ಅನ್ನು ತೋರಿಸುತ್ತದೆ:

  1. RX ಗಡಿಯಾರವು ನೆಲೆಗೊಳ್ಳಲು ನಿರೀಕ್ಷಿಸಲಾಗುತ್ತಿದೆ
  2. PHY ಸ್ಥಿತಿಯನ್ನು ಮುದ್ರಿಸಲಾಗುತ್ತಿದೆ
  3. 10 ಪ್ಯಾಕೆಟ್‌ಗಳನ್ನು ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ
  4. 10 ಪ್ಯಾಕೆಟ್‌ಗಳನ್ನು ಸ್ವೀಕರಿಸಲಾಗುತ್ತಿದೆ
  5. "ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಪೂರ್ಣಗೊಂಡಿದೆ" ಎಂದು ಪ್ರದರ್ಶಿಸಲಾಗುತ್ತಿದೆ.

ಕೆಳಗಿನ ಎಸ್ample ಔಟ್‌ಪುಟ್ ಯಶಸ್ವಿ ಸಿಮ್ಯುಲೇಶನ್ ಪರೀಕ್ಷಾ ಓಟವನ್ನು ವಿವರಿಸುತ್ತದೆ:

  • #RX ಜೋಡಣೆಗಾಗಿ ನಿರೀಕ್ಷಿಸಲಾಗುತ್ತಿದೆ
  • #RX ಡೆಸ್ಕ್ಯು ಲಾಕ್ ಆಗಿದೆ
  • #RX ಲೇನ್ ಜೋಡಣೆಯನ್ನು ಲಾಕ್ ಮಾಡಲಾಗಿದೆ
  • #TX ಸಕ್ರಿಯಗೊಳಿಸಲಾಗಿದೆ
  • #**ಪ್ಯಾಕೆಟ್ 1 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 2 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 3 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 4 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 5 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 6 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 7 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 1 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 8 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 2 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 9 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 3 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 10 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 4 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 5 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 6 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 7 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 8 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 9 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 10 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…

ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ಅನುಕರಿಸುವುದುampಲೆ ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಪುಟ 7 ರಲ್ಲಿ

ಹಾರ್ಡ್‌ವೇರ್ ಪರೀಕ್ಷೆ
ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸದಲ್ಲಿ ಮಾಜಿampಉದಾಹರಣೆಗೆ, ನೀವು IP ಕೋರ್ ಅನ್ನು ಆಂತರಿಕ ಸೀರಿಯಲ್ ಲೂಪ್‌ಬ್ಯಾಕ್ ಮೋಡ್‌ನಲ್ಲಿ ಪ್ರೋಗ್ರಾಮ್ ಮಾಡಬಹುದು ಮತ್ತು ಸ್ವೀಕರಿಸುವ ಬದಿಯ ಮೂಲಕ ಹಿಂತಿರುಗುವ ಟ್ರಾನ್ಸ್‌ಮಿಟ್ ಬದಿಯಲ್ಲಿ ಟ್ರಾಫಿಕ್ ಅನ್ನು ರಚಿಸಬಹುದು.

ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಈಥರ್ನೆಟ್ IP ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ಉನ್ನತ ಮಟ್ಟದ ಬ್ಲಾಕ್ ರೇಖಾಚಿತ್ರ

ಕಡಿಮೆ-ಲೇಟೆನ್ಸಿ-ಇ-ಟೈಲ್-40G-ಎತರ್ನೆಟ್-ಇಂಟೆಲ್-FPGA-IP-ಡಿಸೈನ್-ಎಕ್ಸ್ample-FIG-9

ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಮಾಜಿample ಕೆಳಗಿನ ಘಟಕಗಳನ್ನು ಒಳಗೊಂಡಿದೆ:

  • ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್.
  • ಕ್ಲೈಂಟ್ ತರ್ಕವು IP ಕೋರ್ನ ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಮತ್ತು ಪ್ಯಾಕೆಟ್ ಉತ್ಪಾದನೆ ಮತ್ತು ತಪಾಸಣೆಯನ್ನು ಸಂಘಟಿಸುತ್ತದೆ.
  • 100 MHz ಇನ್‌ಪುಟ್ ಗಡಿಯಾರದಿಂದ ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸಕ್ಕೆ 50 MHz ಗಡಿಯಾರವನ್ನು ಉತ್ಪಾದಿಸಲು IOPLLampಲೆ.
  • JTAG ಇಂಟೆಲ್ ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್‌ನೊಂದಿಗೆ ಸಂವಹನ ನಡೆಸುವ ನಿಯಂತ್ರಕ. ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಮೂಲಕ ನೀವು ಕ್ಲೈಂಟ್ ಲಾಜಿಕ್‌ನೊಂದಿಗೆ ಸಂವಹನ ನಡೆಸುತ್ತೀರಿ.

ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲು ಒದಗಿಸಿದ ಸಂಬಂಧಿತ ಮಾಹಿತಿ ಲಿಂಕ್‌ನಲ್ಲಿ ಕಾರ್ಯವಿಧಾನವನ್ನು ಅನುಸರಿಸಿampಆಯ್ಕೆಮಾಡಿದ ಯಂತ್ರಾಂಶದಲ್ಲಿ le.

ಸಂಬಂಧಿತ ಮಾಹಿತಿ

  • ಪುಟ 40 ರಲ್ಲಿ ಹಾರ್ಡ್‌ವೇರ್‌ನಲ್ಲಿ ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 9G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲಾಗುತ್ತಿದೆ
  • ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್‌ನೊಂದಿಗೆ ವಿನ್ಯಾಸಗಳನ್ನು ವಿಶ್ಲೇಷಿಸುವುದು ಮತ್ತು ಡೀಬಗ್ ಮಾಡುವುದು

ಆಂತರಿಕ ಲೂಪ್‌ಬ್ಯಾಕ್ ಪರೀಕ್ಷೆ
ಆಂತರಿಕ ಲೂಪ್‌ಬ್ಯಾಕ್ ಪರೀಕ್ಷೆಯನ್ನು ನಿರ್ವಹಿಸಲು ಈ ಹಂತಗಳನ್ನು ಚಲಾಯಿಸಿ:

  1. ಸಿಸ್ಟಮ್ ಅನ್ನು ಮರುಹೊಂದಿಸಿ.
    sys_reset_digital_analog
  2. ಗಡಿಯಾರದ ಆವರ್ತನ ಮತ್ತು PHY ಸ್ಥಿತಿಯನ್ನು ಪ್ರದರ್ಶಿಸಿ.
    chkphy_status
  3. ಆಂತರಿಕ ಲೂಪ್‌ಬ್ಯಾಕ್ ಪರೀಕ್ಷೆಯನ್ನು ಆನ್ ಮಾಡಿ.
    ಲೂಪ್_ಆನ್
  4. ಗಡಿಯಾರದ ಆವರ್ತನ ಮತ್ತು PHY ಸ್ಥಿತಿಯನ್ನು ಪ್ರದರ್ಶಿಸಿ. rx_clk ಅನ್ನು 312.5 MHz ಗೆ ಹೊಂದಿಸಲಾಗಿದೆ ಮತ್ತು
    rx_pcs_ready ಅನ್ನು 1 ಗೆ ಹೊಂದಿಸಲಾಗಿದೆ.
    chkphy_status
  5. ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸಿ.
    start_pkt_gen
  6. ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ನಿಲ್ಲಿಸಿ.
    stop_pkt_gen
  7. Review ರವಾನೆಯಾದ ಮತ್ತು ಸ್ವೀಕರಿಸಿದ ಪ್ಯಾಕೆಟ್‌ಗಳ ಸಂಖ್ಯೆ.
    chkmac_stats
  8. ಆಂತರಿಕ ಲೂಪ್‌ಬ್ಯಾಕ್ ಪರೀಕ್ಷೆಯನ್ನು ಆಫ್ ಮಾಡಿ.
    ಲೂಪ್_ಆಫ್

ಬಾಹ್ಯ ಲೂಪ್‌ಬ್ಯಾಕ್ ಪರೀಕ್ಷೆ
ಬಾಹ್ಯ ಲೂಪ್‌ಬ್ಯಾಕ್ ಪರೀಕ್ಷೆಯನ್ನು ನಿರ್ವಹಿಸಲು ಈ ಹಂತಗಳನ್ನು ಚಲಾಯಿಸಿ:

  1. ಸಿಸ್ಟಮ್ ಅನ್ನು ಮರುಹೊಂದಿಸಿ.
    sys_reset_digital_analog
  2. ಗಡಿಯಾರದ ಆವರ್ತನ ಮತ್ತು PHY ಸ್ಥಿತಿಯನ್ನು ಪ್ರದರ್ಶಿಸಿ. rx_clk ಅನ್ನು 312.5 MHz ಗೆ ಹೊಂದಿಸಲಾಗಿದೆ ಮತ್ತು
    rx_pcs_ready ಅನ್ನು 1. chkphy_status ಗೆ ಹೊಂದಿಸಲಾಗಿದೆ
  3. ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸಿ.
    start_pkt_gen
  4. ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ನಿಲ್ಲಿಸಿ.
    stop_pkt_gen
  5. Review ರವಾನೆಯಾದ ಮತ್ತು ಸ್ವೀಕರಿಸಿದ ಪ್ಯಾಕೆಟ್‌ಗಳ ಸಂಖ್ಯೆ.
    chkmac_stats
ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ನೋಂದಣಿಗಳು

ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಹಾರ್ಡ್‌ವೇರ್ ಡಿಸೈನ್ ಎಕ್ಸ್ample ನೋಂದಣಿ ನಕ್ಷೆ
ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸಕ್ಕಾಗಿ ಮೆಮೊರಿ ಮ್ಯಾಪ್ ಮಾಡಿದ ರಿಜಿಸ್ಟರ್ ಶ್ರೇಣಿಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆampಲೆ. ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್‌ನಲ್ಲಿ reg_read ಮತ್ತು reg_write ಕಾರ್ಯಗಳೊಂದಿಗೆ ನೀವು ಈ ರೆಜಿಸ್ಟರ್‌ಗಳನ್ನು ಪ್ರವೇಶಿಸುತ್ತೀರಿ.

ವರ್ಡ್ ಆಫ್‌ಸೆಟ್ ನೋಂದಣಿ ಪ್ರಕಾರ
0x300-0x3FF PHY ನೋಂದಣಿಗಳು
0x400-0x4FF TX MAC ನೋಂದಣಿಗಳು
0x500-0x5FF RX MAC ನೋಂದಣಿಗಳು
0x800-0x8FF ಅಂಕಿಅಂಶ ಕೌಂಟರ್ ರೆಜಿಸ್ಟರ್‌ಗಳು - TX ನಿರ್ದೇಶನ
0x900-0x9FF ಅಂಕಿಅಂಶಗಳ ಕೌಂಟರ್ ರೆಜಿಸ್ಟರ್‌ಗಳು - RX ನಿರ್ದೇಶನ
0x1000-1016 ಪ್ಯಾಕೆಟ್ ಕ್ಲೈಂಟ್ ನೋಂದಣಿಗಳು

ಪ್ಯಾಕೆಟ್ ಕ್ಲೈಂಟ್ ರಿಜಿಸ್ಟರ್‌ಗಳು
ನೀವು ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಕಸ್ಟಮೈಸ್ ಮಾಡಬಹುದುampಕ್ಲೈಂಟ್ ರೆಜಿಸ್ಟರ್‌ಗಳನ್ನು ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಮಾಡುವ ಮೂಲಕ.

ಆಡ್ರ್ ಹೆಸರು ಬಿಟ್ ವಿವರಣೆ HW ಮರುಹೊಂದಿಸುವ ಮೌಲ್ಯ ಪ್ರವೇಶ
0x1008 ಪ್ಯಾಕೆಟ್ ಗಾತ್ರವನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಿ [29:0] ಟ್ರಾನ್ಸ್ಮಿಟ್ ಪ್ಯಾಕೆಟ್ ಗಾತ್ರವನ್ನು ಬೈಟ್ಗಳಲ್ಲಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಿ. ಈ ಬಿಟ್‌ಗಳು PKT_GEN_TX_CTRL ರಿಜಿಸ್ಟರ್‌ಗೆ ಅವಲಂಬನೆಗಳನ್ನು ಹೊಂದಿವೆ.

• ಬಿಟ್ [29:16]: ಪ್ಯಾಕೆಟ್ ಗಾತ್ರದ ಮೇಲಿನ ಮಿತಿಯನ್ನು ಬೈಟ್‌ಗಳಲ್ಲಿ ಸೂಚಿಸಿ. ಇದು ಹೆಚ್ಚುತ್ತಿರುವ ಕ್ರಮಕ್ಕೆ ಮಾತ್ರ ಅನ್ವಯಿಸುತ್ತದೆ.

• ಬಿಟ್ [13:0]:

— ಸ್ಥಿರ ಮೋಡ್‌ಗಾಗಿ, ಈ ಬಿಟ್‌ಗಳು ಟ್ರಾನ್ಸ್‌ಮಿಟ್ ಪ್ಯಾಕೆಟ್ ಗಾತ್ರವನ್ನು ಬೈಟ್‌ಗಳಲ್ಲಿ ಸೂಚಿಸುತ್ತವೆ.

— ಹೆಚ್ಚುತ್ತಿರುವ ಕ್ರಮಕ್ಕಾಗಿ, ಈ ಬಿಟ್‌ಗಳು ಪ್ಯಾಕೆಟ್‌ಗಾಗಿ ಹೆಚ್ಚುತ್ತಿರುವ ಬೈಟ್‌ಗಳನ್ನು ಸೂಚಿಸುತ್ತವೆ.

0x25800040 RW
0x1009 ಪ್ಯಾಕೆಟ್ ಸಂಖ್ಯೆ ನಿಯಂತ್ರಣ [31:0] ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್‌ನಿಂದ ರವಾನಿಸಲು ಪ್ಯಾಕೆಟ್‌ಗಳ ಸಂಖ್ಯೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ. 0xA RW
0x1010 PKT_GEN_TX_C TRL [7:0] • ಬಿಟ್ [0]: ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ.

• ಬಿಟ್ [1]: ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಬಿಟ್ ಅನ್ನು ನಿಷ್ಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ. ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ಆಫ್ ಮಾಡಲು ಈ ಬಿಟ್ ಅನ್ನು 1 ರ ಮೌಲ್ಯಕ್ಕೆ ಹೊಂದಿಸಿ ಮತ್ತು ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ಆನ್ ಮಾಡಲು ಅದನ್ನು 0 ಮೌಲ್ಯಕ್ಕೆ ಮರುಹೊಂದಿಸಿ.

• ಬಿಟ್ [2]: ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ.

• ಬಿಟ್ [3]: IP ಕೋರ್ MAC ಲೂಪ್‌ಬ್ಯಾಕ್ ಮೋಡ್‌ನಲ್ಲಿದ್ದರೆ 1 ರ ಮೌಲ್ಯವನ್ನು ಹೊಂದಿರುತ್ತದೆ; ಪ್ಯಾಕೆಟ್ ಕ್ಲೈಂಟ್ ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ಬಳಸಿದರೆ 0 ಮೌಲ್ಯವನ್ನು ಹೊಂದಿರುತ್ತದೆ.

0x6 RW
ಮುಂದುವರೆಯಿತು…
ಆಡ್ರ್ ಹೆಸರು ಬಿಟ್ ವಿವರಣೆ HW ಮರುಹೊಂದಿಸುವ ಮೌಲ್ಯ ಪ್ರವೇಶ
      • ಬಿಟ್ [5:4]:

— 00: ರಾಂಡಮ್ ಮೋಡ್

— 01: ಸ್ಥಿರ ಮೋಡ್

- 10: ಹೆಚ್ಚುತ್ತಿರುವ ಮೋಡ್

• ಬಿಟ್ [6]: ರವಾನಿಸಲು ನಿಗದಿತ ಸಂಖ್ಯೆಯ ಪ್ಯಾಕೆಟ್‌ಗಳ ಆಧಾರದ ಮೇಲೆ ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ಆಫ್ ಮಾಡಲು 1x0 ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಬಳಸಲು ಈ ಬಿಟ್ ಅನ್ನು 1009 ಕ್ಕೆ ಹೊಂದಿಸಿ. ಇಲ್ಲದಿದ್ದರೆ, ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ಆಫ್ ಮಾಡಲು PKT_GEN_TX_CTRL ನ ಬಿಟ್ [1] ಅನ್ನು ಬಳಸಲಾಗುತ್ತದೆ.

• ಬಿಟ್ [7]:

- 1: ಪ್ಯಾಕೆಟ್‌ಗಳ ನಡುವೆ ಅಂತರವಿಲ್ಲದೆ ಪ್ರಸರಣಕ್ಕಾಗಿ.

- 0: ಪ್ಯಾಕೆಟ್‌ಗಳ ನಡುವೆ ಯಾದೃಚ್ಛಿಕ ಅಂತರದೊಂದಿಗೆ ಪ್ರಸರಣಕ್ಕಾಗಿ.

   
0x1011 ಗಮ್ಯಸ್ಥಾನದ ವಿಳಾಸ ಕಡಿಮೆ 32 ಬಿಟ್‌ಗಳು [31:0] ಗಮ್ಯಸ್ಥಾನದ ವಿಳಾಸ (ಕಡಿಮೆ 32 ಬಿಟ್‌ಗಳು) 0x56780ADD RW
0x1012 ಗಮ್ಯಸ್ಥಾನದ ವಿಳಾಸ ಮೇಲಿನ 16 ಬಿಟ್‌ಗಳು [15:0] ಗಮ್ಯಸ್ಥಾನದ ವಿಳಾಸ (ಮೇಲಿನ 16 ಬಿಟ್‌ಗಳು) 0x1234 RW
0x1013 ಮೂಲ ವಿಳಾಸವು ಕಡಿಮೆ 32 ಬಿಟ್‌ಗಳು [31:0] ಮೂಲ ವಿಳಾಸ (ಕಡಿಮೆ 32 ಬಿಟ್‌ಗಳು) 0x43210ADD RW
0x1014 ಮೂಲ ವಿಳಾಸ ಮೇಲಿನ 16 ಬಿಟ್‌ಗಳು [15:0] ಮೂಲ ವಿಳಾಸ (ಮೇಲಿನ 16 ಬಿಟ್‌ಗಳು) 0x8765 RW
0x1016 PKT_CL_LOOPB ACK_RESET [0] MAC ಲೂಪ್‌ಬ್ಯಾಕ್ ರೀಸೆಟ್. ಹಿಂದಿನ ವಿನ್ಯಾಸವನ್ನು ಮರುಹೊಂದಿಸಲು 1 ರ ಮೌಲ್ಯಕ್ಕೆ ಹೊಂದಿಸಿample MAC ಲೂಪ್ಬ್ಯಾಕ್. 1'b0 RW

ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಈಥರ್ನೆಟ್ ಕಂಟ್ರೋಲ್ ಮತ್ತು ಸ್ಟೇಟಸ್ ರಿಜಿಸ್ಟರ್ ವಿವರಣೆಗಳು ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ IP ಕೋರ್ ರೆಜಿಸ್ಟರ್‌ಗಳನ್ನು ವಿವರಿಸುತ್ತದೆ.

ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳು
ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಈಥರ್ನೆಟ್ ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಸ್ವಯಂ-ಒಳಗೊಂಡಿದೆ ಮತ್ತು ನೀವು ಯಾವುದೇ ಇನ್‌ಪುಟ್ ಸಿಗ್ನಲ್‌ಗಳನ್ನು ಚಾಲನೆ ಮಾಡುವ ಅಗತ್ಯವಿಲ್ಲ.

ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಹಾರ್ಡ್‌ವೇರ್ ಡಿಸೈನ್ ಎಕ್ಸ್ample ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳು

ಸಿಗ್ನಲ್ ನಿರ್ದೇಶನ ಕಾಮೆಂಟ್‌ಗಳು
 

 

clk50

 

 

ಇನ್ಪುಟ್

ಈ ಗಡಿಯಾರವು ಬೋರ್ಡ್ ಆಂದೋಲಕದಿಂದ ನಡೆಸಲ್ಪಡುತ್ತದೆ.

• Intel Stratix 50 ಬೋರ್ಡ್‌ನಲ್ಲಿ 10 MHz ನಲ್ಲಿ ಚಾಲನೆ ಮಾಡಿ.

• Intel Agilex ಬೋರ್ಡ್‌ನಲ್ಲಿ 100 MHz ನಲ್ಲಿ ಚಾಲನೆ ಮಾಡಿ.

ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಮಾಜಿample ಸಾಧನದಲ್ಲಿನ IOPLL ನ ಇನ್‌ಪುಟ್‌ಗೆ ಈ ಗಡಿಯಾರವನ್ನು ರೂಟ್ ಮಾಡುತ್ತದೆ ಮತ್ತು ಆಂತರಿಕವಾಗಿ 100 MHz ಗಡಿಯಾರವನ್ನು ಚಾಲನೆ ಮಾಡಲು IOPLL ಅನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡುತ್ತದೆ.

clk_ref ಇನ್ಪುಟ್ 156.25 MHz ನಲ್ಲಿ ಚಾಲನೆ ಮಾಡಿ.
ಮುಂದುವರೆಯಿತು…
ಸಿಗ್ನಲ್ ನಿರ್ದೇಶನ ಕಾಮೆಂಟ್‌ಗಳು
 

cpu_resetn

 

ಇನ್ಪುಟ್

IP ಕೋರ್ ಅನ್ನು ಮರುಹೊಂದಿಸುತ್ತದೆ. ಸಕ್ರಿಯ ಕಡಿಮೆ. ಜಾಗತಿಕ ಹಾರ್ಡ್ ರೀಸೆಟ್ csr_reset_n ಅನ್ನು IP ಕೋರ್‌ಗೆ ಚಾಲನೆ ಮಾಡುತ್ತದೆ.
tx_serial[3:0] ಔಟ್ಪುಟ್ ಟ್ರಾನ್ಸ್ಸಿವರ್ PHY ಔಟ್ಪುಟ್ ಸರಣಿ ಡೇಟಾ.
rx_serial[3:0] ಇನ್ಪುಟ್ ಟ್ರಾನ್ಸ್ಸಿವರ್ PHY ಇನ್ಪುಟ್ ಸರಣಿ ಡೇಟಾ.
 

 

 

 

 

user_led[7:0]

 

 

 

 

 

ಔಟ್ಪುಟ್

ಸ್ಥಿತಿ ಸಂಕೇತಗಳು. ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಮಾಜಿample ಈ ಬಿಟ್‌ಗಳನ್ನು ಟಾರ್ಗೆಟ್ ಬೋರ್ಡ್‌ನಲ್ಲಿ LED ಗಳನ್ನು ಚಾಲನೆ ಮಾಡಲು ಸಂಪರ್ಕಿಸುತ್ತದೆ. ಪ್ರತ್ಯೇಕ ಬಿಟ್‌ಗಳು ಕೆಳಗಿನ ಸಿಗ್ನಲ್ ಮೌಲ್ಯಗಳು ಮತ್ತು ಗಡಿಯಾರದ ನಡವಳಿಕೆಯನ್ನು ಪ್ರತಿಬಿಂಬಿಸುತ್ತವೆ:

• [0]: IP ಕೋರ್‌ಗೆ ಮುಖ್ಯ ಮರುಹೊಂದಿಸುವ ಸಂಕೇತ

• [1]: clk_ref ನ ವಿಭಜಿತ ಆವೃತ್ತಿ

• [2]: clk50 ನ ವಿಭಜಿತ ಆವೃತ್ತಿ

• [3]: 100 MHz ಸ್ಥಿತಿ ಗಡಿಯಾರದ ವಿಭಜಿತ ಆವೃತ್ತಿ

• [4]: ​​tx_lanes_stable

• [5]: rx_block_lock

• [6]: rx_am_lock

• [7]: rx_pcs_ready

ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ಇಂಟರ್‌ಫೇಸ್‌ಗಳು ಮತ್ತು ಸಿಗ್ನಲ್ ವಿವರಣೆಗಳು ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ IP ಕೋರ್ ಸಿಗ್ನಲ್‌ಗಳ ವಿವರವಾದ ವಿವರಣೆಗಳನ್ನು ಮತ್ತು ಅವುಗಳಿಗೆ ಸೇರಿದ ಇಂಟರ್‌ಫೇಸ್‌ಗಳನ್ನು ಒದಗಿಸುತ್ತದೆ.

ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಆರ್ಕೈವ್ಸ್
IP ಕೋರ್ ಆವೃತ್ತಿಯನ್ನು ಪಟ್ಟಿ ಮಾಡದಿದ್ದರೆ, ಹಿಂದಿನ IP ಕೋರ್ ಆವೃತ್ತಿಗೆ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ ಅನ್ವಯಿಸುತ್ತದೆ.

ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಆವೃತ್ತಿ IP ಕೋರ್ ಆವೃತ್ತಿ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
20.1 19.1.0 ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ

ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್‌ಗಾಗಿ ಡಾಕ್ಯುಮೆಂಟ್ ಪರಿಷ್ಕರಣೆ ಇತಿಹಾಸampಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ

ಡಾಕ್ಯುಮೆಂಟ್ ಆವೃತ್ತಿ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಆವೃತ್ತಿ IP ಆವೃತ್ತಿ ಬದಲಾವಣೆಗಳು
2020.06.22 20.2 20.0.0 Intel Agilex ಸಾಧನಗಳಿಗೆ ಸಾಧನ ಬೆಂಬಲವನ್ನು ಸೇರಿಸಲಾಗಿದೆ.
2020.04.13 20.1 19.1.0 ಆರಂಭಿಕ ಬಿಡುಗಡೆ.

ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್‌ಮಾರ್ಕ್‌ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್‌ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್‌ನ ಸ್ಟ್ಯಾಂಡರ್ಡ್ ವಾರಂಟಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಕೊಂಡಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆಯಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್‌ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಹೇಳಬಹುದು.

ದಾಖಲೆಗಳು / ಸಂಪನ್ಮೂಲಗಳು

ಇಂಟೆಲ್ ಲೋ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40 ಜಿ ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ ಎಫ್‌ಪಿಜಿಎ ಐಪಿ ಡಿಸೈನ್ ಎಕ್ಸ್ample [ಪಿಡಿಎಫ್] ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ವಿನ್ಯಾಸ ಎಕ್ಸ್ample, ಕಡಿಮೆ ಲೇಟೆನ್ಸಿ, ಇ-ಟೈಲ್ 40G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ವಿನ್ಯಾಸ ಎಕ್ಸ್ample, Intel FPGA IP ವಿನ್ಯಾಸ ಎಕ್ಸ್ample, IP ವಿನ್ಯಾಸ ಎಕ್ಸ್ample

ಉಲ್ಲೇಖಗಳು

ಕಾಮೆಂಟ್ ಬಿಡಿ

ನಿಮ್ಮ ಇಮೇಲ್ ವಿಳಾಸವನ್ನು ಪ್ರಕಟಿಸಲಾಗುವುದಿಲ್ಲ. ಅಗತ್ಯವಿರುವ ಕ್ಷೇತ್ರಗಳನ್ನು ಗುರುತಿಸಲಾಗಿದೆ *