intel LOGO

intel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example

intel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example

Vodnik za hiter začetek

Triple-Speed ​​Ethernet Intel® FPGA IP za Intel Agilex™ zagotavlja zmožnost generiranja zasnove exampdatotek za izbrane konfiguracije, kar vam omogoča:

  • Sestavite zasnovo, da dobite oceno uporabe območja IP in časa.
  • Simulirajte načrt, da s simulacijo preverite funkcionalnost IP.
  • Preizkusite zasnovo na strojni opremi z razvojnim kompletom Intel Agilex I-Series Transceiver-SoC Development Kit.
  • Ko ustvarite načrt example, urejevalnik parametrov samodejno ustvari fileje potrebno za simulacijo, prevajanje in testiranje zasnove v strojni opremi.

Opomba: Podpora za strojno opremo trenutno ni na voljo v različici programske opreme Intel Quartus® Prime Pro Edition 22.3.

Razvoj Stages za Design Exampleintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - 1

Opomba: V različici programske opreme Intel Quartus Prime Pro Edition 22.3 je potreben popravek, da se izognete napaki pri simulaciji na zasnovi example. Za več informacij glejte povezavo KDB: Zakaj simulacija ne uspe za trojni ethernet Intel FPGA IP Multiport Design Example?.

Povezane informacije
Zakaj simulacija ne uspe za tristopenjski Ethernet Intel® FPGA IP Multiport Design Example?.

Struktura imenika

Zasnova IP s trojno hitrostjo Ethernet Intel FPGA example file imeniki vsebujejo naslednje ustvarjene files za 10/100/1000 Multiport Ethernet MAC Design Examps 1000BASE-X/SGMII PCS in vgrajenim PMA

  • Konfiguracija in preizkus strojne opreme files (zasnova strojne opreme nprample) se nahajajo vample_dir>/hardware_test_design.
  • Simulacija files (samo preskusna miza za simulacijo) se nahajajo vample_dir>/primerample_testbench.
  • Zasnova samo za kompilacijo nprample se nahaja vample_dir>/ kompilacija_test_design.
  • Test kompilacije in test strojne opreme uporabljajo modele fileje vample_dir>/ex_tse/common.

Struktura imenika za oblikovanje Exampleintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - 2

Tabela 1. Trojno hitrostni ethernet Intel FPGA IP Testbench File Opis

Imenik/File Opis
Testna miza in simulacija Files
<design_example_dir>/primerample_testbench/ basic_avl_tb_top_mac_pcs.sv Testna miza najvišje ravni file. Testna miza ustvari primerek DUT in zažene naloge Verilog HDL za ustvarjanje in sprejemanje paketov.
Skripte testnega orodja
<design_example_dir>/primerample_testbench/ run_vsim_mac_pcs.sh Skript ModelSim za zagon preskusne naprave.
nadaljevanje ...
Imenik/File Opis
<design_example_dir>/primerample_testbench/ run_vcs_mac_pcs.sh Skript Synopsys* VCS za zagon preskusne naprave.
<design_example_dir>/primerample_testbench/ run_vcsmx_mac_pcs.sh Skript Synopsys VCS MX (združen Verilog HDL in System Verilog z VHDL) za zagon preskusne naprave
<design_example_dir>/primerample_testbench/ run_xcelium_mac_pcs.sh Skript Xcelium* za zagon preskusne naprave.

Tabela 2. Zasnova strojne opreme Intel FPGA IP s trojno hitrostjo Ethernet Example File Opis

Imenik/File Opis
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qpf Projekt Intel Quartus Prime file.
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qsf Nastavitve projekta Intel Quartus Prime file.
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.sdc Omejitve oblikovanja Synopsys files. Lahko jih kopirate in spreminjate files za vaš lasten dizajn Intel Stratix® 10.
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.v Verilog HDL design na najvišji ravni nprample file.
<design_example_dir>/hardware_test_design/ common/ Oblikovanje strojne opreme nprample podpora files.

Ustvarjanje načrta Example

Postopek za ustvarjanje načrta Exampleintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - 3

Example zavihek Design v urejevalniku parametrov IP za trikratni ethernet Intel FPGAintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - 4

Sledite tem korakom za ustvarjanje načrta strojne opreme nprample in testna miza:

  • V programski opremi Intel Quartus Prime Pro Edition kliknite File ➤ Čarovnik za nov projekt za ustvarjanje novega projekta Quartus Prime, oz File ➤ Odpri projekt, da odprete obstoječi projekt Quartus Prime. Čarovnik vas pozove, da določite napravo.
  • Izberite družino naprav Intel Agilex in izberite napravo, ki ima LVDS.
  • Kliknite Dokončaj, da zaprete čarovnika.
  • V katalogu IP poiščite in izberite Interface Protocol ➤ Ethernet ➤ 1G Multirate
  • Ethernet ➤ Triple-Speed ​​Ethernet Intel FPGA IP. Prikaže se okno New IP Variation.
  • Določite ime najvišje ravni za vašo različico IP po meri. Urejevalnik parametrov shrani nastavitve variacije IP v a file imenovan .ip.
  • Kliknite OK. Prikaže se urejevalnik parametrov.
  • Za ustvarjanje dizajna nprample, izberite dizajn nprampv knjižnici prednastavitev in kliknite Uporabi. Ko izberete dizajn, sistem samodejno zapolni parametre IP za dizajn. Urejevalnik parametrov samodejno nastavi parametre, ki so potrebni za ustvarjanje načrta nprample. Ne spreminjajte prednastavljenih parametrov v zavihku IP.
  • Za nprample Oblikovanje Files, izberite možnost Simulacija, da ustvarite preskusno napravo, ali možnost Sinteza, da ustvarite načrt strojne opreme npr.ample.
  • Opomba: Izbrati morate vsaj eno od možnosti za ustvarjanje načrta example.
  • Na ExampNa zavihku Design pod Generated HDL Format izberite Verilog HDL ali VHDL.
  • V razdelku Target Development Kit izberite Agilex I-Series Transceiver-SoC Development Kit (AGIB027R31B1E2VR0) ali izberite Brez
  • Kliknite Example Design: “example_design«. Možnost Select ExampPrikaže se okno Design Directory.
  • Če želite spremeniti dizajn nprample pot do imenika ali ime iz prikazanih privzetih vrednosti (eth_tse_0_example_design), poiščite novo pot in vnesite nov dizajn nprampime imenika datoteke (ample_dir>).
  • Kliknite OK.

Oblikovanje Example Parametri

Parametri v Example zavihek Oblikovanje

Parameter Opis
Izberite Oblikovanje Na voljo exampzasnove datotek za nastavitve parametrov IP.
Example Oblikovanje Files The fileustvariti za različne razvojne faze.

• Simulacija—generira potrebne files za simulacijo exampoblikovanje.

• Sinteza—generira sintezo files. Uporabi te files prevesti zasnovo v programski opremi Intel Quartus Prime Pro Edition za testiranje strojne opreme in izvesti analizo statičnega časa.

Ustvari File Oblika Format RTL files za simulacijo—Verilog ali VHDL.
Izberite tablo Podprta strojna oprema za izvedbo načrta. Ko izberete razvojno ploščo Intel FPGA, se Ciljna naprava je tisti, ki se ujema z napravo v razvojnem kompletu.

Če ta meni ni na voljo, ni podprte plošče za možnosti, ki jih izberete.

Agilex I-Series Transceiver-SoC Development Kit: Ta možnost vam omogoča preizkus zasnove nprampna izbranem razvojnem kompletu Intel FPGA IP. Ta možnost samodejno izbere Ciljna naprava da se ujema z napravo na razvojnem kompletu Intel FPGA IP. Če ima vaša revizija plošče drugačno stopnjo naprave, lahko spremenite ciljno napravo.

Noben: Ta možnost izključuje vidike strojne opreme za načrtovanje nprample.

Simulacija trojne hitrosti Ethernet Intel FPGA IP Design Example Testbench

Postopek za simulacijo Example Testbenchintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - 5

Za simulacijo preskusne mize sledite tem korakom:

  • Spremeni se v imenik simulacije preskusne napraveample_dir>/ prample_testbench.
  • Zaženite simulacijski skript za podprti simulator po vaši izbiri. Skript prevede in zažene testno mizo v simulatorju. Glejte tabelo Koraki za simulacijo testne mize.

Koraki za simulacijo testne mize

Simulator Navodila
ModelSim* V ukazno vrstico vnesite vsim -do run_vsim_mac_pcs.do. Če želite simulirati, ne da bi prikazali GUI ModelSim, vnesite vsim -c -do run_vsim_mac_pcs.do.
Synopsys VCS*/ VCS MX V ukazno vrstico vnesite sh run_vcs_mac_pcs.sh ali sh run_vcsmx_mac_pcs.sh.
Xcelium V ukazno vrstico vnesite sh run_xcelium_mac_pcs.sh.
  • Analizirajte rezultate. Uspešna preskusna naprava pošlje deset paketov, prejme enako število paketov in prikaže naslednje sporočilo

Prevajanje in konfiguriranje načrta Example v strojni opremi

Za sestavljanje načrta strojne opreme nprampdatoteko in jo konfigurirajte v napravi Intel Agilex, sledite tem korakom:

  • Zagotovite načrt strojne opreme nprample generacija je končana.
  • V programski opremi Intel Quartus Prime Pro Edition odprite projekt Intel Quartus Primeample_dir>/hardware_test_design/ altera_eth_tse_hw.qpf.
  • V meniju Obdelava kliknite Začni kompilacijo.
  • Po uspešni kompilaciji je a.sof file je na voljo vampimenik le_dir>/hardwarde_test_design

10/100/1000 Multiport Ethernet MAC Design Examps 1000BASE-X/SGMII PCS in vgrajenim PMA

Ta oblika nprample prikazuje ethernetno rešitev za naprave Intel Agilex, ki uporabljajo trojni ethernetni IP. Dizajn lahko ustvarite iz Example Zavihek Design v urejevalniku parametrov IP za trojni ethernet. Za ustvarjanje dizajna nprample, morate najprej nastaviti vrednosti parametrov za različico IP, ki jo nameravate ustvariti v svojem končnem izdelku. Ustvarjanje dizajna nprample ustvari kopijo IP-ja. Testna miza in oblikovanje strojne opreme nprampuporabite kopijo IP-ja kot preizkušano napravo (DUT). Če vrednosti parametrov za DUT ne nastavite tako, da se ujemajo z vrednostmi parametrov v vašem končnem izdelku, se načrt nprample, ki ga ustvarite, ne izvaja različice IP, ki jo nameravate.

Lastnosti

  • Ustvari načrt example za trojni hitri ethernet z več vrati Ethernet MAC brez notranjega FIFO in PCS z LVDS I/O z uporabo večkanalnega skupnega FIFO.
  • Ustvarja promet na oddajni poti in preverja prejete podatke prek zunanje povratne zanke V/I sprejemnika-sprejemnika LVDS.
  • Tx in RX serijski način zunanje povratne zanke prek V/I LVDS.
  • Podpira samo zunanjo povratno zanko.
  • Podpira samo štiri vrata.

Zahteve glede strojne in programske opreme

  • Intel uporablja naslednjo strojno in programsko opremo za testiranje zasnove, nprampdatoteka v sistemu Linux:
  • Programska oprema Intel Quartus Prime Pro Edition
  • Simulatorji ModelSim, VCS, VCS MX in Xcelium

Funkcionalni opisintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - 6

Oblikovalske komponente

Komponenta Opis
Triple-Speed ​​Ethernet Intel FPGA IP Triple-Speed ​​Ethernet Intel FPGA IP (altera_eth_tse) je instanciran z naslednjo konfiguracijo:

• Konfiguracije jedra:

—   Različica jedra: 10/100/1000Mb Ethernet MAC z 1000BASE-X/SGMII PCS

—   Uporabite notranji FIFO: Ni izbrano

—   Število vrat: 4

—   Vrsta oddajnika: V/I LVDS

• Možnosti MAC:

—   Omogoči podporo za pol dupleks MAC 10/100: Izbrano

—   Omogoči lokalno povratno zanko na MII/GMII: Izbrano

—   Omogoči dodatne naslove MAC unicast: Ni izbrano

—   Vključi števce statistike: Izbrano

—   Omogoči 64-bitne števce bajtov statistike: Ni izbrano

—   Vključi razpršilno tabelo za večoddajanje: Ni izbrano

—   Poravnajte glave paketov z 32-bitno mejo: Ni izbrano

—   Omogoči nadzor pretoka v polnem dupleksu: Izbrano

—   Omogoči zaznavanje VLAN: Ni izbrano

—   Omogoči zaznavanje čarobnih paketov: Izbrano

—   Vključuje modul MDIO (MDC/MDIO): Izbrano

—   Delitelj ure gostitelja: 50

• Časamp možnosti:

—   Omogoči časovni okviramping: Ni izbrano

• Možnosti PCS/sprejemnika:

—   Omogoči most SGMII: Izbrano

Logika odjemalca Generira in spremlja pakete, poslane ali prejete prek IP-ja.
Ethernet krmilnik prometa Upravlja se preko Avalon® spominsko preslikanega vmesnika.
JTAG na Avalon pomnilniško preslikan vmesnik Address Decoder Pretvori JTAG Signali za Avalon spominsko preslikan vmesnik.

Ura in signali za ponastavitev

Signal Smer širina Opis
ref_clk Vnos 1 Pogoni registrirajo referenčno uro dostopa in uro vmesnika statusa MAC FIFO. Nastavite uro na 100 MHz.
iopll_refclk Vnos 1 125 MHz referenčna ura za 1.25 Gbps serijski V/I vmesnik LVDS.

Simulacija

Simulacijski testni primer izvede naslednje korake:

  • Zažene oblikovanje nprample s hitrostjo delovanja 1G.
  • Konfigurira tristopenjski Ethernet MAC in registre PCS.
  • Čaka do potrditve veljavnega signala ukrepa.
  • Pošilja pakete, ki niso PTP, na vrata 0.
  • Vrata MAC RX 0 pošiljajo prejete pakete na vrata MAC TX 1.

Testna miza

Blok diagram zasnove Example Multiport 10/100/1000Mb Ethernet MAC s 1000BASE-X/SGMII PCS z LVDS I/O Simulation Testbenchintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - 7

Rezultat simulacijskega testa simulatorja VCSintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - 8 intel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - 9

Zgodovina revizij dokumenta za Triple-Speed Ethernet Intel FPGA IP Intel Agilex Design Example Uporabniški priročnik

Različica dokumenta Različica Intel Quartus Prime Različica IP Spremembe
2022.12.09 22.3 21.1.0 Začetna izdaja.

Dokumenti / Viri

intel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example [pdf] Uporabniški priročnik
Triple-Speed ​​Ethernet Agilex FPGA IP Design Example, trojna hitrost, Ethernet Agilex FPGA IP Design Example, IP Design Example

Reference

Pustite komentar

Vaš elektronski naslov ne bo objavljen. Obvezna polja so označena *