intel Triple-Speed Ethernet Agilex FPGA IP Design Example
ඉක්මන් ආරම්භක මාර්ගෝපදේශය
Intel Agilex™ සඳහා Triple-Speed Ethernet Intel® FPGA IP මඟින් නිර්මාණය උත්පාදනය කිරීමේ හැකියාව සපයයි.ampතෝරාගත් වින්යාසයන් සඳහා les, ඔබට ඉඩ දෙන්නේ:
- IP ප්රදේශයේ භාවිතය සහ වේලාව පිළිබඳ ඇස්තමේන්තුවක් ලබා ගැනීමට සැලසුම සම්පාදනය කරන්න.
- සමාකරණය හරහා IP ක්රියාකාරීත්වය සත්යාපනය කිරීමට සැලසුම අනුකරණය කරන්න.
- Intel Agilex I-Series Transceiver-SoC සංවර්ධන කට්ටලය භාවිතයෙන් දෘඪාංගයේ සැලසුම පරීක්ෂා කරන්න.
- ඔබ නිර්මාණයක් උත්පාදනය කරන විට හිටපුample, පරාමිති සංස්කාරකය ස්වයංක්රීයව නිර්මාණය කරයි fileදෘඩාංග තුළ නිර්මාණය අනුකරණය කිරීමට, සම්පාදනය කිරීමට සහ පරීක්ෂා කිරීමට අවශ්ය වේ.
සටහන: දෘඪාංග සහය දැනට Intel Quartus® Prime Pro සංස්කරණ මෘදුකාංග අනුවාදය 22.3 හි නොමැත.
සංවර්ධන එස්tagනිර්මාණ Ex සඳහා esample
සටහන: Intel Quartus Prime Pro සංස්කරණ මෘදුකාංග අනුවාදය 22.3 හි, නිර්මාණයේ ex සමාකරණ අසාර්ථක වීම වළක්වා ගැනීමට පැච් එකක් අවශ්ය වේ.ample. වැඩි විස්තර සඳහා, KDB සබැඳිය බලන්න: Triple-Speed Ethernet Intel FPGA IP Multiport Design Ex සඳහා සමාකරණය අසාර්ථක වන්නේ ඇයි?ample?.
අදාළ තොරතුරු
Triple-Speed Ethernet Intel® FPGA IP Multiport Design Ex සඳහා සමාකරණය අසාර්ථක වන්නේ ඇයි?ample?.
නාමාවලි ව්යුහය
Triple-Speed Ethernet Intel FPGA IP නිර්මාණය example file නාමාවලි පහත ජනනය කර ඇත file10/100/1000 Multiport Ethernet MAC Design Ex සඳහා samp1000BASE-X/SGMII PCS සහ Embedded PMA සමඟින්
- දෘඪාංග වින්යාසය සහ පරීක්ෂණය files (දෘඪාංග නිර්මාණය උදාample) පිහිටා ඇතample_dir>/hardware_test_design.
- අනුකරණය files (පරික්ෂණ බංකුව අනුකරණය සඳහා පමණි) පිහිටා ඇතample_dir>/උදාample_testbench.
- සම්පාදනය-පමණි නිර්මාණය example පිහිටා ඇතample_dir>/ compilation_test_design.
- සම්පාදන පරීක්ෂණය සහ දෘඩාංග පරීක්ෂණ සැලසුම් භාවිතා කරයි fileතුළ ඇතample_dir>/ex_tse/common.
නිර්මාණ සඳහා නාමාවලි ව්යුහය Example
වගුව 1. Triple-Speed Ethernet Intel FPGA IP Testbench File විස්තරය
| නාමාවලිය/File | විස්තරය |
| ටෙස්ට් බංකු සහ අනුකරණය Files | |
| <design_example_dir>/උදාample_testbench/ basic_avl_tb_top_mac_pcs.sv | ඉහළ මට්ටමේ පරීක්ෂණ බංකුව file. පරීක්ෂණ බංකුව DUT ක්රියාත්මක කරන අතර පැකට් ජනනය කිරීමට සහ පිළිගැනීමට Verilog HDL කාර්යයන් ක්රියාත්මක කරයි. |
| ටෙස්ට් බංකු ස්ක්රිප්ට් | |
| <design_example_dir>/උදාample_testbench/ run_vsim_mac_pcs.sh | පරීක්ෂණ බංකුව ධාවනය කිරීමට ModelSim පිටපත. |
| දිගටම… | |
| නාමාවලිය/File | විස්තරය |
| <design_example_dir>/උදාample_testbench/ run_vcs_mac_pcs.sh | පරීක්ෂණ බංකුව ධාවනය කිරීමට Synopsys* VCS ස්ක්රිප්ට්. |
| <design_example_dir>/උදාample_testbench/ run_vcsmx_mac_pcs.sh | පරීක්ෂණ බංකුව ක්රියාත්මක කිරීමට Synopsys VCS MX ස්ක්රිප්ට් (Verilog HDL සහ System Verilog සමඟ VHDL) |
| <design_example_dir>/උදාample_testbench/ run_xcelium_mac_pcs.sh | ටෙස්ට් බංකුව ක්රියාත්මක කිරීමට Xcelium* ස්ක්රිප්ට්. |
වගුව 2. Triple-Speed Ethernet Intel FPGA IP දෘඪාංග නිර්මාණය Example File විස්තරය
| නාමාවලිය/File | විස්තරය |
| <design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qpf | Intel Quartus Prime ව්යාපෘතිය file. |
| <design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qsf | Intel Quartus Prime ව්යාපෘති සැකසුම් file. |
| <design_example_dir>/hardware_test_design/ altera_eth_tse_hw.sdc | සාරාංශ සැලසුම් සීමා කිරීම් files. ඔබට මේවා පිටපත් කර වෙනස් කළ හැකිය fileඔබේම Intel Stratix® 10 නිර්මාණය සඳහා. |
| <design_example_dir>/hardware_test_design/ altera_eth_tse_hw.v | ඉහළම මට්ටමේ Verilog HDL නිර්මාණය example file. |
| <design_example_dir>/hardware_test_design/ common/ | දෘඪාංග නිර්මාණය example සහාය files. |
නිර්මාණය උත්පාදනය Example
නිර්මාණය උත්පාදනය කිරීමේ ක්රියා පටිපාටිය Example
Example Design Tab Triple-Speed Ethernet Intel FPGA IP පරාමිති සංස්කාරකයේ
දෘඪාංග නිර්මාණය උත්පාදනය කිරීමට මෙම පියවර අනුගමනය කරන්නample සහ testbench:
- Intel Quartus Prime Pro Edition මෘදුකාංගයේ, ක්ලික් කරන්න File ➤ නව Quartus Prime ව්යාපෘතියක් නිර්මාණය කිරීමට නව ව්යාපෘති විශාරද, හෝ File ➤ දැනට පවතින Quartus Prime ව්යාපෘතියක් විවෘත කිරීමට ව්යාපෘතිය විවෘත කරන්න. විශාරද උපාංගයක් සඳහන් කිරීමට ඔබෙන් විමසයි.
- Intel Agilex උපාංග පවුල තෝරන්න සහ LVDS ඇති උපාංගයක් තෝරන්න.
- විශාරදයා වැසීමට Finish ක්ලික් කරන්න.
- IP නාමාවලියෙහි, අතුරුමුහුණත් ප්රොටෝකෝලය ➤ Ethernet ➤ 1G Multirate සොයා ගෙන තෝරන්න
- Ethernet ➤ Triple-Speed Ethernet Intel FPGA IP. නව IP විචලනය කවුළුව දිස්වේ.
- ඉහළ මට්ටමේ නමක් සඳහන් කරන්න ඔබගේ අභිරුචි IP විචලනය සඳහා. පරාමිති සංස්කාරකය IP විචල්ය සැකසුම් a හි සුරකියි file නම් කර ඇත .ip.
- හරි ක්ලික් කරන්න. පරාමිති සංස්කාරකයන් දිස්වේ.
- නිර්මාණයක් උත්පාදනය කිරීමට example, නිර්මාණයක් තෝරන්න exampපෙරසිටුවීම් පුස්තකාලයෙන් le preset කර Apply ක්ලික් කරන්න. ඔබ සැලසුමක් තෝරාගත් විට, පද්ධතිය ස්වයංක්රීයව සැලසුම සඳහා IP පරාමිතීන් පුරවයි. පරාමිති සංස්කාරකය විසින් නිර්මාණය ex උත්පාදනය කිරීමට අවශ්ය පරාමිති ස්වයංක්රීයව සකසයිample. IP පටිත්තෙහි පෙර සැකසූ පරාමිතීන් වෙනස් නොකරන්න.
- Ex සඳහාample නිර්මාණය Files, testbench ජනනය කිරීමට Simulation විකල්පය හෝ දෘඪාංග නිර්මාණය උත්පාදනය කිරීමට Synthesis විකල්පය තෝරන්න.ample.
- සටහන: ඔබ නිර්මාණ ex උත්පාදනය කිරීමට අවම වශයෙන් එක් විකල්පයක් තෝරාගත යුතුයample.
- හිටපු මතample Design tab, Generated HDL Format යටතේ, Verilog HDL හෝ VHDL තෝරන්න.
- ඉලක්ක සංවර්ධන කට්ටලය යටතේ, Agilex I-Series Transceiver-SoC සංවර්ධන කට්ටලය (AGIB027R31B1E2VR0) තෝරන්න හෝ නැත තෝරන්න
- Ex යන්න ක්ලික් කරන්නample නිර්මාණය: "උදාample_design" බොත්තම. Select Example Design Directory කවුළුව දිස්වේ.
- ඔබට නිර්මාණය වෙනස් කිරීමට අවශ්ය නම් හිටපුample බහලුම මාර්ගය හෝ ප්රදර්ශනය වන පෙරනිමි වලින් නම (eth_tse_0_example_design), නව මාර්ගය වෙත බ්රවුස් කර නව මෝස්තරය ටයිප් කරන්නampලේ නාමාවලියේ නම (ample_dir>).
- හරි ක්ලික් කරන්න.
නිර්මාණ Example පරාමිතීන්
Ex හි පරාමිතීන්ample නිර්මාණ පටිත්ත
| පරාමිතිය | විස්තරය |
| මෝස්තරය තෝරන්න | ලබා ගත හැකි exampIP පරාමිති සැකසුම් සඳහා le සැලසුම්. |
| Example නිර්මාණය Files | ද fileවිවිධ සංවර්ධන අදියර සඳහා උත්පාදනය කිරීමට s.
• අනුකරණය - අවශ්ය දේ ජනනය කරයි fileහිටපු එක අනුකරණය කිරීම සඳහා sample නිර්මාණය. • සංශ්ලේෂණය-සංශ්ලේෂණය උත්පාදනය කරයි files. මේවා භාවිතා කරන්න fileදෘඪාංග පරීක්ෂා කිරීම සඳහා Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගයේ සැලසුම සම්පාදනය කිරීම සහ ස්ථිතික කාල විශ්ලේෂණය සිදු කිරීම. |
| උත්පාදනය කරන්න File ආකෘතිය | RTL හි ආකෘතිය fileඅනුකරණය සඳහා s-Verilog හෝ VHDL. |
| මණ්ඩලය තෝරන්න | සැලසුම් ක්රියාත්මක කිරීම සඳහා සහය දක්වන දෘඪාංග. ඔබ Intel FPGA සංවර්ධන මණ්ඩලයක් තෝරන විට, ඉලක්ක උපාංගය සංවර්ධන කට්ටලයේ උපාංගයට ගැලපෙන එකයි.
මෙම මෙනුව නොමැති නම්, ඔබ තෝරා ගන්නා විකල්ප සඳහා සහය දක්වන පුවරුවක් නොමැත. Agilex I-Series Transceiver-SoC සංවර්ධන කට්ටලය: මෙම විකල්පය ඔබට නිර්මාණය ex පරීක්ෂා කිරීමට ඉඩ සලසයිampතෝරාගත් Intel FPGA IP සංවර්ධන කට්ටලය මත. මෙම විකල්පය ස්වයංක්රීයව තෝරා ගනී ඉලක්ක උපාංගය Intel FPGA IP සංවර්ධන කට්ටලය මත උපාංගය ගැලපීමට. ඔබගේ පුවරු සංශෝධනයට වෙනස් උපාංග ශ්රේණියක් තිබේ නම්, ඔබට ඉලක්ක උපාංගය වෙනස් කළ හැක. කිසිවක් නැත: මෙම විකල්පය සැලසුම් හිටපු සඳහා දෘඩාංග අංගයන් බැහැර කරයිample. |
Triple-Speed Ethernet Intel FPGA IP නිර්මාණය අනුකරණය කිරීම Example Testbench
Ex අනුකරණය කිරීමේ ක්රියා පටිපාටියample Testbench
පරීක්ෂණ බංකුව අනුකරණය කිරීමට මෙම පියවර අනුගමනය කරන්න:
- testbench සමාකරණ නාමාවලිය වෙත වෙනස් කරන්නample_dir>/ උදාample_testbench.
- ඔබ කැමති සහය දක්වන සිමියුලේටරය සඳහා සමාකරණ ස්ක්රිප්ට් ධාවනය කරන්න. ස්ක්රිප්ට් එක සිමියුලේටරය තුළ පරීක්ෂණ බංකුව සම්පාදනය කර ධාවනය කරයි. ටෙස්ට් බංකුව අනුකරණය කිරීමට පියවර වගුව වෙත යොමු වන්න.
ටෙස්ට් බංකුව අනුකරණය කිරීමට පියවර
| සිමියුලේටරය | උපදෙස් |
| ModelSim* | විධාන රේඛාවේ, vsim -do run_vsim_mac_pcs.do ටයිප් කරන්න. ඔබ ModelSim GUI ගෙන ඒමකින් තොරව අනුකරණය කිරීමට කැමති නම්, vsim -c -do run_vsim_mac_pcs.do ටයිප් කරන්න. |
| සාරාංශ VCS*/ VCS MX | විධාන රේඛාවේ, sh run_vcs_mac_pcs.sh හෝ sh run_vcsmx_mac_pcs.sh ලෙස ටයිප් කරන්න. |
| Xcelium | විධාන රේඛාවේ, sh run_xcelium_mac_pcs.sh ලෙස ටයිප් කරන්න. |
- ප්රතිඵල විශ්ලේෂණය කරන්න. සාර්ථක පරීක්ෂණ බංකුව පැකට් දහයක් යවයි, එම පැකට් ගණනම ලබා ගනී, සහ පහත පණිවිඩය පෙන්වයි
නිර්මාණය සම්පාදනය කිරීම සහ වින්යාස කිරීම Exampදෘඪාංගයේ le
දෘඪාංග නිර්මාණය සම්පාදනය කිරීමට example සහ එය ඔබගේ Intel Agilex උපාංගයේ වින්යාස කරන්න, මෙම පියවර අනුගමනය කරන්න:
- දෘඪාංග නිර්මාණය සහතික කරන්න example පරම්පරාව සම්පූර්ණයි.
- Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගයේ, Intel Quartus Prime ව්යාපෘතිය විවෘත කරන්නample_dir>/hardware_test_design/ altera_eth_tse_hw.qpf.
- සැකසුම් මෙනුවෙහි, Start Compilation ක්ලික් කරන්න.
- සාර්ථක සම්පාදනයකින් පසුව, a.sof file තුල පවතීample_dir>/hardwarde_test_design නාමාවලිය
10/100/1000 Multiport Ethernet MAC Design Examp1000BASE-X/SGMII PCS සහ Embedded PMA සමඟින්
මෙම නිර්මාණය හිටපුample විසින් Triple-Speed Ethernet IP භාවිතයෙන් Intel Agilex උපාංග සඳහා Ethernet විසඳුමක් පෙන්වයි. ඔබට Ex වෙතින් නිර්මාණය උත්පාදනය කළ හැකියample Triple-Speed Ethernet IP පරාමිති සංස්කාරකයේ නිර්මාණ පටිත්ත. නිර්මාණය උත්පාදනය කිරීමට example, ඔබ ප්රථමයෙන් ඔබේ අවසාන නිෂ්පාදනයේ උත්පාදනය කිරීමට අදහස් කරන IP විචලනය සඳහා පරාමිති අගයන් සැකසිය යුතුය. නිර්මාණය උත්පාදනය කිරීම example විසින් IP පිටපතක් නිර්මාණය කරයි. ටෙස්ට් බංකුව සහ දෘඪාංග නිර්මාණය හිටපුample පරීක්ෂණය යටතේ උපාංගය ලෙස IP පිටපත භාවිතා කරන්න (DUT). ඔබ DUT සඳහා පරාමිති අගයන් ඔබේ අවසාන නිෂ්පාදනයේ පරාමිති අගයන්ට ගැළපෙන්නේ නැතිනම්, සැලසුම් exampඔබ උත්පාදනය කිරීම ඔබ අදහස් කරන IP විචලනය ක්රියාත්මක නොකරයි.
විශේෂාංග
- නිර්මාණය උත්පාදනය කරයි example සඳහා ට්රිපල්-ස්පීඩ් ඊතර්නෙට් මල්ටිපෝට් ඊතර්නෙට් MAC අභ්යන්තර FIFO සහ PCS නොමැතිව LVDS I/O සමඟ බහු-නාලිකා බෙදාගත් FIFO භාවිතා කරයි.
- සම්ප්රේෂණ මාර්ගයේ ගමනාගමනය උත්පාදනය කරයි සහ සම්ප්රේෂකය LVDS I/O බාහිර ලූප්බැක් හරහා ලැබුණු දත්ත වලංගු කරයි.
- LVDS I/O හරහා Tx සහ RX අනුක්රමික බාහිර ලූප්බැක් මාදිලිය.
- බාහිර ලූප්බැක් සඳහා පමණක් සහය දක්වයි.
- වරායන් හතරකට පමණක් සහය දක්වයි.
දෘඪාංග සහ මෘදුකාංග අවශ්යතා
- ඉන්ටෙල් හිටපු සැලසුම් පරීක්ෂා කිරීමට පහත දෘඪාංග සහ මෘදුකාංග භාවිතා කරයිampලිනක්ස් පද්ධතියක le:
- Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගය
- ModelSim, VCS, VCS MX, සහ Xcelium සිමියුලේටර්
ක්රියාකාරී විස්තරය
සැලසුම් සංරචක
| සංරචකය | විස්තරය |
| Triple-Speed Ethernet Intel FPGA IP | ට්රිපල්-ස්පීඩ් ඊතර්නෙට් ඉන්ටෙල් FPGA IP (altera_eth_tse) පහත වින්යාසය සමඟ ක්රියාත්මක වේ:
• මූලික සැකසුම්: — මූලික විචලනය: 10/100/1000Mb Ethernet MAC සමඟ 1000BASE-X/SGMII PCS — අභ්යන්තර FIFO භාවිතා කරන්න: තෝරා නැත — වරායන් ගණන: 4 — සම්ප්රේෂක වර්ගය: LVDS I/O • MAC විකල්ප: — MAC 10/100 අර්ධ ද්විත්ව සහාය සබල කරන්න: තෝරාගත් — MII/GMII මත දේශීය ලූප්බැක් සබල කරන්න: තෝරාගත් — අතිරේක MAC යුනිකාස්ට් ලිපින සබල කරන්න: තෝරා නැත — සංඛ්යාලේඛන කවුන්ටර ඇතුළත් කරන්න: තෝරාගත් — 64-bit සංඛ්යාලේඛන බයිට් කවුන්ටර සබල කරන්න: තෝරා නැත — Multicast hashtable ඇතුලත් කරන්න: තෝරා නැත — පැකට් ශීර්ෂ 32-බිට් මායිමට පෙළගස්වන්න: තෝරා නැත — සම්පූර්ණ ද්විත්ව ප්රවාහ පාලනය සබල කරන්න: තෝරාගත් — VLAN අනාවරණය සබල කරන්න: තෝරා නැත — මැජික් පැකට් හඳුනාගැනීම සබල කරන්න: තෝරාගත් — MDIO මොඩියුලය (MDC/MDIO) ඇතුළත් කරන්න: තෝරාගත් — සත්කාරක ඔරලෝසු බෙදුම්කරු: 50 • කාලසටහනamp විකල්ප: — වේලාසන සබල කරන්නamping: තෝරා නැත • PCS/Transceiver විකල්ප: — SGMII පාලම සබල කරන්න: තෝරාගත් |
| සේවාලාභී තර්කනය | IP හරහා යවන ලද හෝ ලැබුණු පැකට් උත්පාදනය සහ අධීක්ෂණය. |
| Ethernet Traffic Controller | Avalon® මතක සිතියම්ගත අතුරු මුහුණත හරහා පාලනය වේ. |
| JTAG Avalon මතක සිතියම්ගත අතුරු මුහුණත ලිපින විකේතකය වෙත | ජේ පරිවර්තනය කරන්නTAG Avalon මතක සිතියම්ගත අතුරු මුහුණත සඳහා සංඥා. |
ඔරලෝසුව සහ සංඥා යළි පිහිටුවන්න
| සංඥාව | දිශාව | පළල | විස්තරය |
| ref_clk | ආදානය | 1 | ඩ්රයිව් ප්රවේශ යොමු ඔරලෝසුව සහ MAC FIFO තත්ව අතුරුමුහුණත් ඔරලෝසුව ලියාපදිංචි කරයි. ඔරලෝසුව 100 MHz ලෙස සකසන්න. |
| iopll_refclk | ආදානය | 1 | 125 Gbps අනුක්රමික LVDS I/O අතුරුමුහුණත සඳහා 1.25 MHz යොමු ඔරලෝසුව. |
අනුකරණය
සමාකරණ පරීක්ෂණ නඩුව පහත පියවරයන් සිදු කරයි:
- නිර්මාණය ආරම්භ කරයි examp1G මෙහෙයුම් වේගයක් සහිත le.
- Triple-Speed Ethernet MAC සහ PCS රෙජිස්ටර් වින්යාස කරයි.
- මිනුම් වලංගු සංඥාව ප්රකාශ කරන තෙක් රැඳී සිටින්න.
- PTP නොවන පැකට් 0 තොට වෙත යවයි.
- MAC RX port 0 ලැබුණු පැකට් MAC TX port 1 වෙත යවයි.
ටෙස්ට් බංකුව
මෝස්තරයේ බ්ලොක් රූප සටහන Example Multiport 10/100/1000Mb Ethernet MAC සමඟ 1000BASE-X/SGMII PCS සමඟ LVDS I/O Simulation Testbench
VCS සිමියුලේටරයේ සමාකරණ පරීක්ෂණ ප්රතිඵලය

ත්රිත්ව වේගය සඳහා ලේඛන සංශෝධන ඉතිහාසය Ethernet Intel FPGA IP Intel Agilex Design Example පරිශීලක මාර්ගෝපදේශය
| ලේඛන අනුවාදය | Intel Quartus Prime අනුවාදය | IP අනුවාදය | වෙනස්කම් |
| 2022.12.09 | 22.3 | 21.1.0 | මුල් නිකුතුව. |
ලේඛන / සම්පත්
![]() |
intel Triple-Speed Ethernet Agilex FPGA IP Design Example [pdf] පරිශීලක මාර්ගෝපදේශය Triple-Speed Ethernet Agilex FPGA IP Design Example, Triple-Speed, Ethernet Agilex FPGA IP Design Example, IP Design Example |






