Intel Ethernet Triple-Speed Agilex FPGA IP Design Example
Stiùireadh tòiseachaidh luath
Tha an Ethernet Triple-Speeded Intel® FPGA IP airson Intel Agilex ™ a’ toirt seachad comas dealbhadh ex.amples airson rèiteachaidhean taghte, a leigeas leat:
- Cuir ri chèile an dealbhadh gus tuairmse fhaighinn air cleachdadh agus àm raon IP.
- Dèan atharrais air an dealbhadh gus gnìomhachd IP a dhearbhadh tro atharrais.
- Dèan deuchainn air an dealbhadh air a’ bhathar-cruaidh a’ cleachdadh an Intel Agilex I-Series Transceiver-SoC Development Kit.
- Nuair a ghineas tu dealbhadh example, bidh an deasaiche paramadair gu fèin-obrachail a’ cruthachadh an files riatanach airson atharrais, cur ri chèile, agus deuchainn a dhèanamh air dealbhadh ann am bathar-cruaidh.
Thoir an aire: Chan eil taic bathar-cruaidh ri fhaighinn an-dràsta ann am bathar-bog Intel Quartus® Prime Pro Edition dreach 22.3.
Leasachadh Stages airson an Design Example
Thoir an aire: Ann an dreach Bathar-bog Intel Quartus Prime Pro Edition 22.3, tha feum air bad gus fàilligeadh atharrais air an dealbhadh example. Airson tuilleadh fiosrachaidh, thoir sùil air a ’cheangal KDB: Carson a tha atharrais a’ fàiligeadh airson an Ethernet Triple-Speeded Intel FPGA IP Multiport Design Exampleis?.
Fiosrachadh Co-cheangailte
Carson a tha atharrais a’ fàiligeadh airson an Ethernet Triple-Speeding Intel® FPGA IP Multiport Design Exampleis?.
Structar Directory
Tha an dealbhadh Ethernet Triple-Speed Intel FPGA IP example file tha na leanas air an cruthachadh ann an clàran files airson an 10/100/1000 Multiport Ethernet MAC Design Example le 1000BASE-X/SGMII PCS agus PMA freumhaichte
- An rèiteachadh bathar-cruaidh agus deuchainn files (dealbhadh bathar-cruaidh example) suidhichte ann anample_dir>/hardware_test_design.
- An atharrais files (testbench airson atharrais a-mhàin) suidhichte ann anample_dir>/example_testbench.
- Tha an dealbhadh cruinneachaidh a-mhàin example suidhichte ann anample_dir>/ compilation_test_design.
- Bidh an deuchainn cruinneachaidh agus dealbhadh deuchainn bathar-cruaidh a’ cleachdadh files a-staighample_dir>/ex_tse/common.
Structar eòlaire airson an Design Example
Clàr 1. Triple-Speed Ethernet Intel FPGA IP Testbench File Tuairisgeul
| Leabhar-seòlaidh/File | Tuairisgeul |
| Testbench agus Simulation Files | |
| <design_example_dir>/example_testbench/ basic_avl_tb_top_mac_pcs.sv | Balla deuchainn àrd-ìre file. Bidh an testbench a’ toirt an DUT sa bhad agus a’ ruith gnìomhan Verilog HDL gus pacaidean a ghineadh agus gabhail riutha. |
| Sgriobtaichean testbench | |
| <design_example_dir>/example_testbench/ run_vsim_mac_pcs.sh | An sgriobt ModelSim gus am being deuchainn a ruith. |
| a’ leantainn… | |
| Leabhar-seòlaidh/File | Tuairisgeul |
| <design_example_dir>/example_testbench/ run_vcs_mac_pcs.sh | An sgriobt Synopsys * VCS gus am being deuchainn a ruith. |
| <design_example_dir>/example_testbench/ run_vcsmx_mac_pcs.sh | An sgriobt Synopsys VCS MX (co-cheangailte Verilog HDL agus System Verilog le VHDL) gus am being deuchainn a ruith |
| <design_example_dir>/example_testbench/ run_xcelium_mac_pcs.sh | An sgriobt Xcelium * gus am being deuchainn a ruith. |
Clàr 2. Bathar-cruaidh Ethernet Intel FPGA IP Design Example File Tuairisgeul
| Leabhar-seòlaidh/File | Tuairisgeul |
| <design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qpf | Pròiseact Intel Quartus Prime file. |
| <design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qsf | Suidhichidhean pròiseact Intel Quartus Prime file. |
| <design_example_dir>/hardware_test_design/ altera_eth_tse_hw.sdc | Synopsys cuingeachaidhean dealbhaidh files. Faodaidh tu iad sin a chopaigeadh agus atharrachadh files airson an dealbhadh Intel Stratix® 10 agad fhèin. |
| <design_example_dir>/hardware_test_design/ altera_eth_tse_hw.v | Dealbhadh àrd-ìre Verilog HDL example file. |
| <design_example_dir>/hardware_test_design/ cumanta/ | Dealbhadh bathar-cruaidh example taic files. |
A 'cruthachadh an Design Example
Dòigh-obrach airson Dealbhadh a chruthachadh Example
Example Design Tab anns an Ethernet Triple-Speeded Intel FPGA IP Parameter Deasaiche
Lean na ceumannan seo gus dealbhadh bathar-cruaidh example agus testbench:
- Ann am bathar-bog Intel Quartus Prime Pro Edition, cliog File ➤ Draoidh Pròiseact Ùr gus pròiseact Quartus Prime ùr a chruthachadh, no File ➤ Pròiseact Fosgailte gus pròiseact Quartus Prime a th’ ann mar-thà fhosgladh. Bidh an draoidh gad bhrosnachadh gus inneal a shònrachadh.
- Tagh teaghlach inneal Intel Agilex agus tagh inneal aig a bheil LVDS.
- Cliog air Crìochnaich gus an draoidh a dhùnadh.
- Anns a’ Chatalog IP, lorg agus tagh Interface Protocol ➤ Ethernet ➤ 1G Multirate
- Ethernet ➤ Ethernet astar trì-fhillte Intel FPGA IP. Nochdaidh an uinneag Atharrachadh IP ùr.
- Sònraich ainm àrd-ìre airson an atharrachadh IP àbhaisteach agad. Bidh an deasaiche paramadair a’ sàbhaladh na roghainnean atharrachaidh IP ann an a file ainmeachadh .ip.
- Cliog air OK. Nochdaidh luchd-deasachaidh paramadair.
- Gus dealbhadh example, tagh dealbhadh example preset bhon leabharlann Presets agus cliog Cuir a-steach. Nuair a thaghas tu dealbhadh, bidh an siostam gu fèin-obrachail a’ lìonadh nam paramadairean IP airson an dealbhadh. Bidh an deasaiche paramadair gu fèin-ghluasadach a’ suidheachadh nam paramadairean a dh’ fheumar gus an dealbhadh example. Na atharraich na paramadairean ro-shuidhichte anns an taba IP.
- Airson Example Dealbhadh Files, tagh an roghainn Simulation gus am being deuchainn a ghineadh, no an roghainn Synthesis gus dealbhadh bathar-cruaidh a ghineadh example.
- Nota: Feumaidh tu co-dhiù aon de na roghainnean a thaghadh gus an dealbhadh example.
- Air an Example Design tab, fo Generated HDL Format, tagh Verilog HDL no VHDL.
- Fo Kit Leasachaidh Targaid, tagh an Agilex I-Series Transceiver-SoC Development Kit (AGIB027R31B1E2VR0) no tagh gin
- Cliog air an Example Dealbhadh: “example_design" putan. Tha an Tagh Example Design Directory nochdaidh uinneag.
- Ma tha thu airson an dealbhadh atharrachadh example slighe eòlaire no ainm bho na roghainnean bunaiteach a tha air an taisbeanadh (eth_tse_0_example_design), brobhsadh chun t-slighe ùr agus sgrìobh an dealbhadh ùr example ainm an eòlaire (ample_dir>).
- Cliog air OK.
Dealbhadh Example Parameters
Paramadairean ann an Example Design Tab
| Paramadair | Tuairisgeul |
| Tagh Dealbhadh | Ri fhaighinn example dealbhadh airson na roghainnean paramadair IP. |
| Example Dealbhadh Files | Tha an files a ghineadh airson na diofar ìre leasachaidh.
• Simulation - a 'gineadh an riatanach files airson a bhith ag atharrais air an t-seannampdealbhadh. • Synthesis - a' cruthachadh an t-synthesis files. Cleachd iad seo files gus an dealbhadh a chuir ri chèile ann am bathar-bog Intel Quartus Prime Pro Edition airson deuchainn bathar-cruaidh agus mion-sgrùdadh ùine statach a dhèanamh. |
| Gineadh File Cruth | An cruth RTL files airson atharrais - Verilog no VHDL. |
| Bòrd Taghaidh | Bathar-cruaidh le taic airson buileachadh dealbhaidh. Nuair a thaghas tu bòrd leasachaidh Intel FPGA, bidh an Inneal Targaid an tè a tha a rèir an inneal air an Kit Leasachaidh.
Mura h-eil an clàr-taice seo ri fhaighinn, chan eil bòrd taic ann airson na roghainnean a thaghas tu. Pasgan leasachaidh Agilex I-Series Transceiver-SoC: Leigidh an roghainn seo leat an dealbhadh example air a’ ghoireas leasachaidh IP Intel FPGA taghte. Bidh an roghainn seo gu fèin-obrachail a’ taghadh an Inneal Targaid gus an inneal a mhaidseadh air pasgan leasachaidh IP Intel FPGA. Ma tha ìre inneal eadar-dhealaichte aig an ath-sgrùdadh bùird agad, faodaidh tu an inneal targaid atharrachadh. Chan eil gin: Chan eil an roghainn seo a’ dùnadh a-mach na taobhan bathar-cruaidh airson an dealbhadh example. |
A’ dèanamh atharrais air an Ethernet Triple-speed Intel FPGA IP Design Example Testbench
Dòigh-obrach airson a bhith coltach ri Example Testbench
Lean na ceumannan seo gus atharrais air a’ bheing deuchainn:
- Atharraich gu eòlaire atharrais testbenchample_dir>/ example_testbench.
- Ruith an sgriobt atharrais airson an simuladair le taic de do roghainn. Bidh an sgriobt a’ cur ri chèile agus a’ ruith a’ bheing deuchainn san t-simuladair. Thoir sùil air a’ chlàr Steps to Simulate the Testbench.
Ceumannan gus an Testbench a shamhlachadh
| Simulator | Stiùiridhean |
| ModailSim* | Anns an loidhne-àithne, dèan seòrsa vsim -do run_vsim_mac_pcs.do. Mas fheàrr leat atharrais gun a bhith a’ toirt suas an ModelSim GUI, dèan seòrsa vsim -c -do run_vsim_mac_pcs.do. |
| Synopsys VCS*/ VCS MX | Anns an loidhne-àithne, dèan seòrsa sh run_vcs_mac_pcs.sh no sh run_vcsmx_mac_pcs.sh. |
| Xcelium | Anns an loidhne-àithne, dèan seòrsa sh run_xcelium_mac_pcs.sh. |
- Dèan mion-sgrùdadh air na toraidhean. Bidh an testbench soirbheachail a’ cur deich pacaidean, a’ faighinn an aon àireamh de phasganan, agus a’ taisbeanadh na teachdaireachd a leanas
A’ cur ri chèile agus a’ rèiteachadh an dealbhadh Example ann am Bathar-cruaidh
Gus dealbhadh bathar-cruaidh example agus rèitich e air an inneal Intel Agilex agad, lean na ceumannan seo:
- Dèan cinnteach gu bheil dealbhadh bathar-cruaidh examptha ginealach iomlan.
- Ann am bathar-bog Intel Quartus Prime Pro Edition, fosgail am pròiseact Intel Quartus Primeample_dir>/hardware_test_design/ altera_eth_tse_hw.qpf.
- Air a 'chlàr-taice Pròiseas, briog air Start Compilation.
- Às deidh co-chruinneachadh soirbheachail, a.sof file ri fhaighinn ann anample_dir>/hardwarde_test_design eòlaire
10/100/1000 Multiport Ethernet MAC Design Example le 1000BASE-X/SGMII PCS agus PMA freumhaichte
Tha an dealbhadh seo example a’ taisbeanadh fuasgladh Ethernet airson innealan Intel Agilex a’ cleachdadh an IP Ethernet Triple-Speed. Faodaidh tu an dealbhadh a ghineadh bhon Example Dealbhadh tab de dheasaiche paramadair IP Ethernet Triple-Speed. Gus an dealbhadh example, feumaidh tu an toiseach na luachan paramadair a shuidheachadh airson an atharrachadh IP a tha thu an dùil a ghineadh san toradh deireannach agad. A 'cruthachadh dealbhadh example a 'cruthachadh leth-bhreac den IP. Tha am being deuchainn agus dealbhadh bathar-cruaidh example bhith a’ cleachdadh an leth-bhreac den IP mar an inneal fo dheuchainn (DUT). Mura suidhich thu na luachan paramadair airson an DUT gus a bhith co-ionnan ris na luachan paramadair san toradh deireannach agad, tha an dealbhadh exampcha bhith thu a’ gineadh a’ cleachdadh an atharrachaidh IP a tha thu an dùil.
Feartan
- A 'cruthachadh an dealbhadh example airson Ethernet Triple-Speeded Multiport Ethernet MAC às aonais FIFO a-staigh agus PCS le LVDS I / O a’ cleachdadh FIFO co-roinnte ioma-seanail.
- A’ gineadh trafaic aig an t-slighe tar-chuir agus a’ dearbhadh dàta a fhuaireadh tron transceiver LVDS I/O taobh a-muigh loopback.
- Modh lùbach taobh a-muigh sreathach Tx agus RX tro LVDS I/O.
- A’ toirt taic do lùban taobh a-muigh a-mhàin.
- A’ toirt taic do dìreach ceithir puirt.
Bathar-cruaidh is bathar-bog riatanasan
- Bidh Intel a’ cleachdadh am bathar-cruaidh is am bathar-bog a leanas gus an dealbhadh exampann an siostam Linux:
- Bathar-bog Intel Quartus Prime Pro Edition
- Samhlaichean ModelSim, VCS, VCS MX, agus Xcelium
Tuairisgeul gnìomh
Co-phàirtean dealbhaidh
| Comh-phàirt | Tuairisgeul |
| Ethernet trì-astar Intel FPGA IP | Tha an Ethernet Triple-Speeded Intel FPGA IP (altera_eth_tse) air a chuir an sàs sa bhad leis an rèiteachadh a leanas:
• Bun-rèiteachaidhean: — Atharrachadh bunaiteach: 10/100/1000Mb Ethernet MAC le 1000BASE-X/SGMII PCS — Cleachd FIFO a-staigh: Gun taghadh — Àireamh de phuirtaig: 4 — Seòrsa transceiver: LVDS I/O • Roghainnean MAC: — Dèan comas air leth taic duplex MAC 10/100: Taghte — Dèan comas air loopback ionadail air MII/GMII: Taghte — Dèan comas air seòlaidhean MAC unicast a bharrachd: Gun taghadh — Cuir a-steach cunntairean staitistig: Taghte — Dèan comas air cunntairean byte staitistig 64-bit: Gun taghadh — Cuir a-steach multicast hashtable: Gun taghadh — Co-thaobhadh bann-cinn pacaid gu crìoch 32-bit: Gun taghadh — Dèan comas air smachd sruthadh làn-duplex: Taghte — Dèan comas air lorg VLAN: Gun taghadh — Dèan comas air lorg pacaid draoidheachd: Taghte — Cuir a-steach modal MDIO (MDC / MDIO): Taghte — Neach-sgaraidh cloc aoigheachdaig: 50 • Uairamp Roghainnean: — Dèan comas air timestamping: Gun taghadh • Roghainnean PCS/Transceiver: — Dèan comas air drochaid SGMII: Taghte |
| Logic cliant | A 'cruthachadh agus a' cumail sùil air pacaidean a thèid a chur no a gheibhear tron IP. |
| Rianadair trafaic Ethernet | Air a riaghladh tro eadar-aghaidh mapa cuimhne Avalon®. |
| JTAG gu eadar-aghaidh mapa cuimhne Avalon Seòladh decoder | Tionndadh JTAG Comharran airson eadar-aghaidh le mapa cuimhne Avalon. |
Cloc agus ath-shuidheachadh comharran
| Comharradh | Stiùir | Leud | Tuairisgeul |
| ref_clk | Cuir a-steach | 1 | Bidh draibhearan a’ clàradh gleoc fiosrachaidh ruigsinneachd agus gleoc eadar-aghaidh inbhe MAC FIFO. Suidhich an uaireadair gu 100 MHz. |
| iopll_refclk | Cuir a-steach | 1 | Cloc iomraidh 125 MHz airson eadar-aghaidh sreathach LVDS I / O 1.25 Gbps. |
Samhlachadh
Bidh cùis deuchainn atharrais a’ coileanadh nan ceumannan a leanas:
- A 'tòiseachadh air an dealbhadh example le astar obrachaidh de 1G.
- A’ rèiteachadh na clàran Ethernet MAC agus PCS Triple-Speed.
- A’ feitheamh gus an tèid an comharra dligheach tomhais a dhearbhadh.
- A’ cur pacaidean neo-PTP gu port 0.
- Bidh port MAC RX 0 a’ cur na pacaidean a fhuaireadh gu port MAC TX 1.
being deuchainn
Diagram bloca den Dealbhadh Example Multiport 10/100/1000Mb Ethernet MAC le 1000BASE-X/SGMII PCS le LVDS I/O Simulation Testbench
Toradh deuchainn simulation de VCS Simulator

Eachdraidh ath-sgrùdadh sgrìobhainnean airson an astar trì-fhillte Ethernet Intel FPGA IP Intel Agilex Design Example Stiùireadh Cleachdaiche
| Tionndadh Sgrìobhainn | Intel Quartus Prìomh Tionndadh | Tionndadh IP | Atharrachaidhean |
| 2022.12.09 | 22.3 | 21.1.0 | Sgaoileadh tùsail. |
Sgrìobhainnean/Goireasan
![]() |
Intel Ethernet Triple-Speed Agilex FPGA IP Design Example [pdfStiùireadh Cleachdaiche Ethernet Triple-Speed Agilex FPGA IP Design Example, Triple-Speed, Ethernet Agilex FPGA IP Design Example, IP Design Example |






