Intel 50G Ethernet Design Example
Mwongozo wa Kuanza Haraka wa 50GbE
Msingi wa IP wa 50GbE hutoa jaribio la kuiga na muundo wa zamani wa maunziample ambayo inasaidia ujumuishaji na upimaji wa maunzi. Unapotengeneza muundo wa zamaniampna, mhariri wa parameta huunda kiotomati files muhimu kuiga, kukusanya, na kujaribu muundo katika maunzi. Unaweza kupakua muundo wa maunzi uliokusanywa kwenye kifaa cha Arria 10 GT.
Kumbuka: Ubunifu huu wa zamaniample inalenga kifaa cha Arria 10 GT na inahitaji kirekebisha muda cha 25G. Tafadhali wasiliana na mwakilishi wako wa Intel FPGA ili kuuliza kuhusu jukwaa linalofaa kuendesha kifaa hiki cha zamani cha maunziample. Katika baadhi ya matukio mkopo wa vifaa vinavyofaa unaweza kupatikana. Kwa kuongeza, Intel hutoa ex compilation-pekeeample mradi ambao unaweza kutumia kukadiria kwa haraka eneo la msingi la IP na muda.
Kielelezo 1. Kubuni Exampna Matumizi
Shirika la Intel. Haki zote zimehifadhiwa. Intel, nembo ya Intel, na alama zingine za Intel ni chapa za biashara za Intel Corporation au kampuni zake tanzu. Intel inathibitisha utendakazi wa FPGA yake na bidhaa za semiconductor kwa vipimo vya sasa kwa mujibu wa udhamini wa kawaida wa Intel, lakini inahifadhi haki ya kufanya mabadiliko kwa bidhaa na huduma zozote wakati wowote bila taarifa. Intel haichukui jukumu au dhima yoyote inayotokana na maombi au matumizi ya taarifa yoyote, bidhaa, au huduma iliyoelezwa humu isipokuwa kama ilivyokubaliwa kwa maandishi na Intel. Wateja wa Intel wanashauriwa kupata toleo jipya zaidi la vipimo vya kifaa kabla ya kutegemea taarifa yoyote iliyochapishwa na kabla ya kuagiza bidhaa au huduma. *Majina na chapa zingine zinaweza kudaiwa kuwa mali ya wengine.
Kubuni ExampMuundo wa Saraka
Kielelezo 2. Muundo wa 50GbE ExampMuundo wa Saraka
Usanidi wa maunzi na mtihani files (muundo wa vifaa mfanoample) ziko ndaniample_dir>/hardware_test_design. Uigaji files (testbench kwa simulation tu) ziko ndaniample_dir>/mfample_testbench. Muundo wa mkusanyiko pekee wa zamaniample iko ndaniample_dir>/compilation_test_design.
Usanifu wa Kuiga Example Components
Kielelezo cha 3. Muundo wa Kuiga wa 50GbE Example Block Mchoro
Mfano wa uigajiampna kubuni mtihani wa kiwango cha juu file ni basic_avl_tb_top.sv Hii file huanzisha na kuunganisha ATX PLL. Inajumuisha kazi, send_packets_50g_avl, kutuma na kupokea pakiti 10.
Jedwali 1. 50GbE IP Core Testbench File Maelezo
File Jina | Maelezo |
Testbench na Simulation Files | |
basic_avl_tb_top.sv | Testbench ya kiwango cha juu file. Testbench huanzisha DUT na huendesha kazi za Verilog HDL ili kutengeneza na kukubali pakiti. |
Hati za Testbench | |
run_vsim.do | Hati ya ModelSim ya kuendesha testbench. |
run_vcs.sh | Hati ya Synopsys VCS ya kuendesha jaribio. |
run_ncsim.sh | Hati ya Cadence NCSim ya kuendesha testbench. |
run_xcelium.sh | Hati ya Cadence Xcelium* ya kuendesha testbench. |
Muundo wa maunzi Example Components
Kielelezo 4. 50GbE Muundo wa maunzi Example High Level Block Mchoro
Muundo wa maunzi wa 50GbE wa zamaniample inajumuisha vipengele vifuatavyo
- 50GbE msingi wa IP.
- Mantiki ya mteja inayoratibu upangaji wa msingi wa IP na utengenezaji wa pakiti.
- ATX PLL ili kuendesha njia za kipitisha data cha kifaa.
- IOPLL itazalisha saa ya MHz 100 kutoka saa ya kuingiza sauti ya 50 MHz hadi muundo wa zamani wa maunzi.ample.
- JTAG kidhibiti kinachowasiliana na Dashibodi ya Mfumo. Unawasiliana na mantiki ya mteja kupitia Dashibodi ya Mfumo.
Jedwali 2. 50GbE IP ya Muundo wa maunzi ya Msingi Example File Maelezo
File Majina | Maelezo |
eth_ex_50g.qpf | Mradi wa Quartus Prime file |
eth_ex_50g.qsf | Mipangilio ya mradi wa Quartus file |
eth_ex_50g.sdc | Vikwazo vya Muundo wa Synopsy file. Unaweza kunakili na kurekebisha hii file kwa muundo wako mwenyewe wa 50GbE. |
iliendelea… |
Mwongozo wa Kuanza Haraka wa 50GbE
File Majina | Maelezo |
eth_ex_50g.v | Muundo wa kiwango cha juu wa Verilog HDL example file |
kawaida/ | Usanifu wa vifaa vya zamaniampna msaada files |
hwtest/main.tcl | Kuu file kwa kupata Console ya Mfumo |
Kuzalisha Kubuni Example
Kielelezo 5. Utaratibu
Kielelezo 6. Kutample Kichupo cha Kubuni katika Kihariri cha Kigezo cha 50GbE
Fuata hatua hizi ili kuunda muundo wa maunzi wa zamaniample na testbench
- Kulingana na ikiwa unatumia programu ya Intel Quartus® Prime Pro Edition au programu ya Intel Quartus Prime Standard Edition, fanya mojawapo ya vitendo vifuatavyo: Katika Toleo la Intel Quartus Prime Pro, bofya. File ➤ Mchawi Mpya wa Mradi kuunda mradi mpya wa Quartus Prime, au File ➤ Fungua Mradi wa kufungua mradi uliopo wa Quartus Prime. Mchawi hukuhimiza kutaja kifaa. Katika programu ya Intel Quartus Prime Standard Edition, katika Katalogi ya IP (Katalogi ya IP ya Zana), chagua familia ya kifaa kinacholengwa cha Arria 10.
- Katika Katalogi ya IP, pata na uchague 50G Ethernet. Dirisha Mpya la Tofauti ya IP inaonekana.
- Bainisha jina la kiwango cha juu kwa utofauti wako wa IP na ubofye Sawa. Kihariri cha kigezo huongeza .qsys ya kiwango cha juu (katika Toleo la Kawaida la Intel Quartus Prime) au .ip (katika Toleo la Intel Quartus Prime Pro) file kwa mradi wa sasa kiotomatiki. Ukiombwa kuongeza wewe mwenyewe .qsys au .ip file kwa mradi, bofya Mradi ➤ Ongeza/Ondoa Files katika Mradi wa kuongeza file.
- Katika programu ya Intel Quartus Prime Standard Edition, lazima uchague kifaa mahususi cha Arria 10 katika sehemu ya Kifaa, au uweke kifaa chaguo-msingi ambacho programu ya Quartus Prime inapendekeza.
Kumbuka: Ubunifu wa vifaa vya zamaniample hubatilisha uteuzi na kifaa kwenye ubao lengwa. Unabainisha ubao unaolengwa kutoka kwa menyu ya muundo wa zamaniampchaguzi katika Exampkichupo cha Kubuni (Hatua ya 8). - Bofya Sawa. Mhariri wa parameter inaonekana.
- Kwenye kichupo cha IP, taja vigezo vya utofauti wako wa msingi wa IP.
- Juu ya Exampkichupo cha Kubuni, kwa Kutampna Ubunifu Files, chagua chaguo la Uigaji ili kutoa testbench, na uchague chaguo la Usanifu ili kutoa muundo wa maunzi ex.ample. HDL ya Verilog pekee files zinazalishwa.
Kumbuka: Msingi unaofanya kazi wa VHDL IP haupatikani. Bainisha Verilog HDL pekee, kwa mfano wako mkuu wa muundo wa IPample. - Kwa Bodi ya Vifaa chagua Seti ya Ukuzaji ya Uadilifu ya Mawimbi ya Arria 10 GX.
Kumbuka: Wasiliana na mwakilishi wako wa Intel FPGA ili upate maelezo kuhusu jukwaa linalofaa kuendesha kifaa hiki cha zamaniample. - Bofya Tengeneza Exampkitufe cha Kubuni. Chaguo la KutampDirisha la Saraka ya Usanifu inaonekana.
- Ikiwa ungependa kurekebisha muundo wa zamaniample saraka au jina kutoka kwa chaguo-msingi zilizoonyeshwa (alt_e50_0_example_design), vinjari kwa njia mpya na uandike muundo mpya wa zamaniampjina la saraka (ample_dir>).
- Bofya Sawa.
- Rejelea Jibu la KDB Je, ninawezaje kufidia msukosuko wa kuporomoka kwa PLL au njia ya saa isiyojitolea ya saa ya marejeleo ya Arria 10 PLL? kwa utatuzi unapaswa kutuma maombi katika saraka ya hardware_test_design katika faili ya .sdc file.
Kumbuka: Ni lazima uangalie Jibu hili la KDB kwa sababu njia ya RX katika msingi wa IP ya 50GbE inajumuisha PLL zilizopigwa. Kwa hivyo, saa za msingi za IP zinaweza kupata jitter ya ziada katika vifaa vya Arria 10. Jibu hili la KDB hufafanua matoleo ya programu ambayo utatuzi ni muhimu.
Habari Zinazohusiana
Jibu la KDB: Je, ninawezaje kufidia msukosuko wa njia ya saa isiyojitolea ya PLL au isiyojitolea kwa saa ya marejeleo ya Arria 10 PLL?
Kuiga Muundo wa 50GbE Exampkwenye Testbench
Kielelezo 7. Utaratibu
Fuata hatua hizi ili kuiga testbench
- Badilisha kwa saraka ya simulation ya testbenchample_dir>/mfample_testbench.
- Endesha hati ya uigaji kwa kiigaji kinachotumika cha chaguo lako. Hati inakusanya na kuendesha testbench kwenye simulator. Rejelea jedwali "Hatua za Kuiga Testbench".
- Chambua matokeo. Testbench iliyofaulu hutuma pakiti kumi, hupokea pakiti kumi, na kuonyesha "Testbench imekamilika."
Jedwali 3. Hatua za Kuiga Testbench
Mwimbaji | Maagizo |
ModelSim | Katika mstari wa amri, chapa vsim -do run_vsim.do
Ikiwa ungependa kuiga bila kuleta ModelSim GUI, chapa vsim -c -do run_vsim.do Kumbuka: ModelSim* - Kiigaji cha Toleo la Intel FPGA hakina uwezo wa kuiga msingi huu wa IP. Lazima utumie kiigaji kingine cha ModelSim kinachotumika kama vile ModelSim SE. |
NCSim | Katika mstari wa amri, chapa sh run_ncsim.sh |
VCS | Katika mstari wa amri, chapa sh run_vcs.sh |
Xcelium | Katika mstari wa amri, chapa sh run_xcelium.sh |
Uendeshaji uliofaulu wa jaribio unaonyesha matokeo yanayothibitisha tabia ifuatayo
- Inasubiri saa ya RX itulie
- Inachapisha hali ya PHY
- Inatuma pakiti 10
- Kupokea pakiti 10
- Inaonyesha "Testbench imekamilika."
Ifuatayo sample pato linaonyesha jaribio la kuiga lenye mafanikio
- Saa ya #Rejeleo inaendeshwa kwa 625 MHz kwa hivyo nambari nzima inaweza kutumika kwa vipindi vyote vya saa.
- #Zidisha masafa yaliyoripotiwa kufikia 33/32 ili kupata masafa halisi ya saa.
- #Inasubiri mpangilio wa RX
- #RX deskew imefungwa
- #Mpangilio wa njia ya RX umefungwa
- #TX imewashwa
- #**Inatuma Kifurushi cha 1...
- #**Inatuma Kifurushi cha 2...
- #**Inatuma Kifurushi cha 3...
- #**Inatuma Kifurushi cha 4...
- #**Inatuma Kifurushi cha 5...
- #**Inatuma Kifurushi cha 6...
- #**Inatuma Kifurushi cha 7...
- #**Imepokea Pakiti 1…
- #**Inatuma Kifurushi cha 8...
- #**Imepokea Pakiti 2…
- #**Inatuma Kifurushi cha 9...
- #**Imepokea Pakiti 3…
- #**Inatuma Kifurushi cha 10...
- #**Imepokea Pakiti 4…
- #**Imepokea Pakiti 5…
- #**Imepokea Pakiti 6…
- #**Imepokea Pakiti 7…
- #**Imepokea Pakiti 8…
- #**Imepokea Pakiti 9…
- #**Imepokea Pakiti 10…
- #**
- #** Testbench imekamilika.
- #**
- #****************************************
Kukusanya na Kuweka Usanifu Example katika vifaa
Kukusanya muundo wa maunzi example na uisanidi kwenye kifaa chako cha Arria 10 GT, fuata hatua hizi
- Hakikisha muundo wa maunzi exampkizazi kimekamilika.
- Katika programu ya Intel Quartus Prime, fungua mradi wa Intel Quartus Primeample_dir>/hardware_test_design/eth_ex_50g.qpf.
- Kabla ya kutayarisha, hakikisha kuwa umetekeleza suluhu kutoka kwa Jibu la KDB Je, ninawezaje kufidia msukosuko wa njia ya PLL ya kushuka au isiyojitolea ya saa ya marejeleo ya Arria 10 PLL? ikiwa inafaa kwa toleo lako la programu.
- Kwenye menyu ya Uchakataji, bofya Anza Kukusanya.
- Baada ya kutoa kitu cha SRAM file .sof, fuata hatua hizi ili kupanga muundo wa maunzi example kwenye kifaa cha Arria 10:
- Kwenye menyu ya Vyombo, bofya Kipanga programu.
- Katika Kipanga programu, bofya Usanidi wa Vifaa.
- Chagua kifaa cha kupanga.
- Chagua na uongeze ubao wa Arria 10 GT na kirekebisha muda cha 25G kwenye kipindi chako cha Intel Quartus Prime.
- Hakikisha kuwa Modi imewekwa kuwa JTAG.
- Chagua kifaa cha Arria 10 na ubofye Ongeza Kifaa. Kipanga programu kinaonyesha mchoro wa kizuizi cha miunganisho kati ya vifaa kwenye ubao wako.
- Katika safu mlalo na .sof yako, chagua kisanduku cha .sof.
- Angalia kisanduku katika safu ya Programu/Sanidi.
- Bofya Anza
Kumbuka: Ubunifu huu wa zamaniample inalenga kifaa cha Arria 10 GT. Tafadhali wasiliana na mwakilishi wako wa Intel FPGA ili kuuliza kuhusu jukwaa linalofaa kuendesha kifaa hiki cha zamani cha maunziample
Habari Zinazohusiana
- Jibu la KDB: Je, ninawezaje kufidia msukosuko wa kuporomoka kwa PLL au njia isiyojitolea ya saa ya marejeleo ya Arria 10 PLL?
- Mkusanyiko wa Kuongezeka kwa Muundo wa Kidaraja na Unaotegemea Timu
- Kupanga Vifaa vya Intel FPGA
Kujaribu 50GbE Muundo wa Maunzi Example
Baada ya kukusanya muundo wa msingi wa IP wa 50GbEample na uisanidi kwenye kifaa chako cha Arria 10 GT, unaweza kutumia Dashibodi ya Mfumo kupanga msingi wa IP na rejista zake za msingi za IP za PHY zilizopachikwa. Ili kuwasha Dashibodi ya Mfumo na kujaribu muundo wa maunzi example, fuata hatua hizi:
- Baada ya muundo wa vifaa vya zamaniample imesanidiwa kwenye kifaa cha Arria 10, katika programu ya Intel Quartus Prime, kwenye menyu ya Zana, bofya Zana za Utatuzi wa Mfumo ➤ Dashibodi ya Mfumo.
- Kwenye kidirisha cha Tcl Console, chapa cd hwtest ili kubadilisha saraka kuwaample_dir>/hardware_test_design/hwtest.
- Chapa source main.tcl ili kufungua muunganisho kwa JTAG bwana.
Unaweza kupanga msingi wa IP na muundo ufuatao wa zamaniample amri
- chkphy_status: Inaonyesha masafa ya saa na hali ya kufuli ya PHY.
- start_pkt_gen: Huanzisha jenereta ya pakiti.
- stop_pkt_gen: Husimamisha jenereta ya pakiti.
- loop_on: Huwasha kitanzi cha ndani cha mfululizo
- loop_off: Huzima kitanzi cha ndani cha mfululizo.
- reg_soma : Hurejesha thamani ya rejista ya msingi ya IP .
- reg_andika : Anaandika kwa rejista ya msingi ya IP kwa anwani .
Habari Zinazohusiana
- 50GbE Design Example Rejesta kwenye ukurasa wa 13 Ramani ya usajili kwa muundo wa maunzi example.
- Kuchambua na Kutatua Miundo kwa kutumia Dashibodi ya Mfumo
Kubuni Example Maelezo
Ubunifu wa zamaniample huonyesha utendakazi wa msingi wa 50GbE na kiolesura cha transceiver kinachotii vipimo vya kawaida vya CAUI-802.3 vya IEEE 4ba. Unaweza kutengeneza muundo kutoka kwa Example kichupo cha Kubuni katika kihariri cha parameta ya 50GbE. Ili kuunda muundo wa zamaniampkwa hiyo, lazima kwanza uweke thamani za kigezo kwa utofauti wa msingi wa IP unaonuia kutoa katika bidhaa yako ya mwisho. Inazalisha muundo wa zamaniample huunda nakala ya msingi wa IP; testbench na muundo wa maunzi exampnatumia tofauti hii kama DUT. Ikiwa hutaweka thamani za kigezo za DUT ili zilingane na thamani za kigezo katika bidhaa yako ya mwisho, muundo wa zamani.ample you produce haitumii utofauti wa msingi wa IP unaokusudia.
Kumbuka: Testbench inaonyesha jaribio la msingi la msingi wa IP. Haikusudiwi kuwa mbadala wa mazingira kamili ya uthibitishaji. Ni lazima utekeleze uthibitishaji wa kina zaidi wa muundo wako mwenyewe wa 50GbE katika uigaji na maunzi.
Habari Zinazohusiana
Mwongozo wa Mtumiaji wa Intel Arria® 10 50Gbps Ethernet IP Core
Kubuni Exampna Tabia
Testbench hutuma trafiki kupitia msingi wa IP, kwa kutumia upande wa kusambaza na kupokea upande wa msingi wa IP. Katika muundo wa vifaa vya zamaniampkwa hivyo, unaweza kupanga msingi wa IP katika modi ya ndani ya kitanzi cha ndani na kutoa trafiki kwenye upande wa kusambaza ambao hujirudia kupitia upande wa kupokea.
Kubuni Example Ishara za Kiolesura
Bechi ya majaribio ya 50GbE inajitosheleza na haihitaji uendeshe mawimbi yoyote ya ingizo.
Jedwali la 4. Muundo wa maunzi ya 50GbE Example Ishara za Kiolesura
Mawimbi | Mwelekeo | Maoni |
clk50 |
Ingizo |
Endesha kwa 50 MHz. Kusudi ni kuendesha hii kutoka kwa oscillator ya 50 Mhz kwenye ubao. |
clk_ref | Ingizo | Endesha kwa 644.53125 MHz. |
cpu_resetn |
Ingizo |
Huweka upya msingi wa IP. Inatumika chini. Huendesha uwekaji upya kwa bidii wa kimataifa csr_reset_n kwenye msingi wa IP. |
iliendelea… |
Shirika la Intel. Haki zote zimehifadhiwa. Intel, nembo ya Intel, na alama zingine za Intel ni chapa za biashara za Intel Corporation au kampuni zake tanzu. Intel inathibitisha utendakazi wa FPGA yake na bidhaa za semiconductor kwa vipimo vya sasa kwa mujibu wa udhamini wa kawaida wa Intel, lakini inahifadhi haki ya kufanya mabadiliko kwa bidhaa na huduma zozote wakati wowote bila taarifa. Intel haichukui jukumu au dhima yoyote inayotokana na maombi au matumizi ya taarifa yoyote, bidhaa, au huduma iliyofafanuliwa hapa isipokuwa kama ilivyokubaliwa kwa maandishi na Intel. Wateja wa Intel wanashauriwa kupata toleo jipya zaidi la vipimo vya kifaa kabla ya kutegemea taarifa yoyote iliyochapishwa na kabla ya kuagiza bidhaa au huduma. *Majina na chapa zingine zinaweza kudaiwa kuwa mali ya wengine.
Mawimbi | Mwelekeo | Maoni |
tx_serial[1:0] | Pato | Data ya mfululizo ya pato la Transceiver PHY. |
rx_serial[1:0] | Ingizo | Transceiver PHY data ya mfululizo. |
inaongozwa na mtumiaji[7:0] |
Pato |
Ishara za hali. Ubunifu wa vifaa vya zamaniample huunganisha biti hizi ili kuendesha LED kwenye ubao unaolengwa. Biti za kibinafsi zinaonyesha maadili ya ishara zifuatazo na tabia ya saa:
• [0]: Weka upya mawimbi kuu kwa msingi wa IP • [1]: Toleo lililogawanywa la clk_ref • [2]: Toleo lililogawanywa la clk50 • [3]: Toleo lililogawanywa la saa ya hali ya MHz 100 • [4]: tx_lanes_stable • [5]: rx_block_lock • [6]: rx_am_lock • [7]: rx_pcs_ready |
Habari Zinazohusiana
Violesura na Maelezo ya Mawimbi Hutoa maelezo ya kina ya mawimbi ya msingi ya IP ya 50GbE na violesura vinavyohusika.
50GbE Design Example Rejesta
Jedwali la 5. Muundo wa maunzi ya 50GbE Exampna Ramani ya Usajili
Huorodhesha safu za sajili zilizopangwa kwa kumbukumbu kwa mfano wa muundo wa maunziample. Unafikia rejista hizi kwa kutumia reg_read na reg_write katika Dashibodi ya Mfumo.
Kupunguza Neno | Kitengo cha Usajili |
0x300–0x5FF | Rejesta za msingi za 50GbE za IP. |
0x4000–0x4C00 | Rejista 10 za usanidi upya zinazobadilika. Anwani ya msingi ya usajili ni 0x4000 kwa Lane 0 na 0x4400 kwa Lane 1. |
Habari Zinazohusiana
- Kujaribu 50GbE Muundo wa Maunzi Example kwenye ukurasa wa 11 Dashibodi ya Mfumo inaamuru kufikia msingi wa IP na rejista za Asili za PHY.
- Maelezo ya Daftari ya Udhibiti na Hali ya 50GbE Inaelezea rejista za msingi za 50GbE za IP.
Historia ya Marekebisho ya Hati
Jedwali la 6. 50G Ethernet Design Exampna Historia ya Marekebisho ya Mwongozo wa Mtumiaji
Tarehe | Kutolewa | Mabadiliko |
2019.04.03 | 17.0 | Aliongeza amri ya kuendesha simuleringar Xcelium. |
2017.11.08 |
17.0 |
Kiungo kilichoongezwa kwa Jibu la KDB ambacho hutoa suluhisho kwa jitter inayowezekana kwenye vifaa vya Intel Arria® 10 kwa sababu ya kuporomoka kwa ATX PLL kwenye msingi wa IP.
Rejelea Kuzalisha Kubuni Example kwenye ukurasa wa 7 na Kukusanya na Kusanidi Kubuni Example katika vifaa kwenye ukurasa wa 10. Ubunifu huu wa zamaniampmwongozo wa mtumiaji haujasasishwa ili kutafakari Kumbuka: mabadiliko madogo katika utengenezaji wa muundo katika toleo la Intel Quartus Prime baadaye kuliko toleo la programu ya Intel Quartus Prime v17.0. |
2017.05.08 | 17.0 | Toleo la kwanza kwa umma. |
Shirika la Intel. Haki zote zimehifadhiwa. Intel, nembo ya Intel, na alama zingine za Intel ni chapa za biashara za Intel Corporation au kampuni zake tanzu. Intel inathibitisha utendakazi wa FPGA yake na bidhaa za semiconductor kwa vipimo vya sasa kwa mujibu wa udhamini wa kawaida wa Intel, lakini inahifadhi haki ya kufanya mabadiliko kwa bidhaa na huduma zozote wakati wowote bila taarifa. Intel haichukui jukumu au dhima yoyote inayotokana na maombi au matumizi ya taarifa yoyote, bidhaa, au huduma iliyofafanuliwa hapa isipokuwa kama ilivyokubaliwa kwa maandishi na Intel. Wateja wa Intel wanashauriwa kupata toleo jipya zaidi la vipimo vya kifaa kabla ya kutegemea taarifa yoyote iliyochapishwa na kabla ya kuagiza bidhaa au huduma. *Majina na chapa zingine zinaweza kudaiwa kuwa mali ya wengine.
Nyaraka / Rasilimali
![]() |
Intel 50G Ethernet Design Example [pdf] Mwongozo wa Mtumiaji 50G Ethernet Design Example, 50G, Ethernet Design Example, Kubuni Example |