FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ
Intel® Quartus® Prime Design Suite ਲਈ ਅੱਪਡੇਟ ਕੀਤਾ ਗਿਆ: 20.3
ਔਨਲਾਈਨ ਸੰਸਕਰਣ ਫੀਡਬੈਕ ਭੇਜੋ
UG-01063
ID: 683490 ਸੰਸਕਰਣ: 2020.10.05
ਸਮੱਗਰੀ
ਸਮੱਗਰੀ
1. Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ……………………………………………………………….. 5
2. LPM_COUNTER (ਕਾਊਂਟਰ) IP ਕੋਰ……………………………………………………………………………….. 7 2.1. ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ……………………………………………………………………………………………… 7 2.2. ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ……………………………………………………………………………….. 8 2.3. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ……………………………………………………………………….8 2.4. VHDL LIBRARY_USE ਘੋਸ਼ਣਾ ……………………………………………………………………………… 9 2.5. ਬੰਦਰਗਾਹਾਂ………………………………………………………………………………………………………..9 2.6. ਮਾਪਦੰਡ……………………………………………………………………………………… 10
3. LPM_DIVIDE (ਡਿਵਾਈਡਰ) Intel FPGA IP ਕੋਰ………………………………………………………….. 12 3.1. ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ………………………………………………………………………………………. 12 3.2. ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ……………………………………………………………………………… 12 3.3. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ……………………………………………………………………….. 13 3.4. VHDL LIBRARY_USE ਘੋਸ਼ਣਾ …………………………………………………………………. 13 3.5. ਬੰਦਰਗਾਹਾਂ……………………………………………………………………………………………… 13 3.6. ਮਾਪਦੰਡ……………………………………………………………………………………… 14
4. LPM_MULT (ਗੁਣਕ) IP ਕੋਰ…………………………………………………………………………. 16 4.1. ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ………………………………………………………………………………………. 16 4.2. ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ……………………………………………………………………………… 17 4.3. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ……………………………………………………………………….. 17 4.4. VHDL LIBRARY_USE ਘੋਸ਼ਣਾ …………………………………………………………………. 17 4.5. ਸਿਗਨਲ……………………………………………………………………………………………… 18 4.6. ਸਟ੍ਰੈਟਿਕਸ V, ਅਰਰੀਆ V, ਚੱਕਰਵਾਤ V, ਅਤੇ ਇੰਟੇਲ ਚੱਕਰਵਾਤ 10 LP ਡਿਵਾਈਸਾਂ ਲਈ ਮਾਪਦੰਡ……………… 18 4.6.1. ਜਨਰਲ ਟੈਬ………………………………………………………………………………18 4.6.2. ਜਨਰਲ 2 ਟੈਬ……………………………………………………………………………… 19 4.6.3. ਪਾਈਪਲਾਈਨਿੰਗ ਟੈਬ……………………………………………………………………………… 19 4.7. Intel Stratix 10, Intel Arria 10, ਅਤੇ Intel Cyclone 10 GX ਡਿਵਾਈਸਾਂ ਲਈ ਮਾਪਦੰਡ……….. 20 4.7.1. ਜਨਰਲ ਟੈਬ………………………………………………………………………………20 4.7.2. ਜਨਰਲ 2 ਟੈਬ……………………………………………………………………………… 20 4.7.3. ਪਾਈਪਲਾਈਨਿੰਗ………………………………………………………………………………………21
5. LPM_ADD_SUB (ਯੋਜਕ/ਘਟਾਕ)……………………………………………………………………… 22 5.1. ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ………………………………………………………………………………………. 22 5.2. ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ……………………………………………………………………………… 23 5.3. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ……………………………………………………………………….. 23 5.4. VHDL LIBRARY_USE ਘੋਸ਼ਣਾ …………………………………………………………………. 23 5.5. ਬੰਦਰਗਾਹਾਂ……………………………………………………………………………………………… 23 5.6. ਮਾਪਦੰਡ……………………………………………………………………………………… 24
6. LPM_COMPARE (ਤੁਲਨਾਕਾਰ)……………………………………………………………………………………… 26 6.1. ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ………………………………………………………………………………………. 26 6.2. ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ……………………………………………………………………………… 27 6.3. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ……………………………………………………………………….. 27 6.4. VHDL LIBRARY_USE ਘੋਸ਼ਣਾ …………………………………………………………………. 27 6.5. ਬੰਦਰਗਾਹਾਂ……………………………………………………………………………………………… 27 6.6. ਮਾਪਦੰਡ……………………………………………………………………………………… 28
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 2
ਫੀਡਬੈਕ ਭੇਜੋ
ਸਮੱਗਰੀ
7. ALTECC (ਗਲਤੀ ਸੁਧਾਰ ਕੋਡ: ਏਨਕੋਡਰ/ਡੀਕੋਡਰ) IP ਕੋਰ …………………………………… 30
7.1 ALTECC ਏਨਕੋਡਰ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ…………………………………………………………………………..31 7.2. ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ (ALTECC_ENCODER)………………………………………………. 32 7.3. ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ (ALTECC_DECODER)………………………………………………. 32 7.4. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ (ALTECC_ENCODER)……………………………………………… 33 7.5. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ (ALTECC_DECODER)……………………………………………… 33 7.6. VHDL LIBRARY_USE ਘੋਸ਼ਣਾ …………………………………………………………………. 33 7.7. ਏਨਕੋਡਰ ਪੋਰਟਸ……………………………………………………………………………………………… 33 7.8. ਡੀਕੋਡਰ ਪੋਰਟਸ…………………………………………………………………………………………34 7.9. ਏਨਕੋਡਰ ਪੈਰਾਮੀਟਰ……………………………………………………………………………………… 34 7.10. ਡੀਕੋਡਰ ਪੈਰਾਮੀਟਰ ……………………………………………………………………………… 35
8. Intel FPGA ਗੁਣਾ ਐਡਰ IP ਕੋਰ………………………………………………………………. 36
8.1 ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ………………………………………………………………………………………. 37 8.1.1. ਪ੍ਰੀ-ਐਡਰ………………………………………………………………………………….. 38 8.1.2. ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰ………………………………………………………………….. 40 8.1.3. ਪ੍ਰੀ-ਲੋਡ ਕੰਸਟੈਂਟ……………………………………………………………………… 43 8.1.4. ਡਬਲ ਇਕੂਮੂਲੇਟਰ……………………………………………………………………… 43
8.2 ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ……………………………………………………………………………… 44 8.3. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ……………………………………………………………………….. 44 8.4. VHDL LIBRARY_USE ਘੋਸ਼ਣਾ …………………………………………………………………. 44 8.5. ਸਿਗਨਲ……………………………………………………………………………………………… 44 8.6. ਮਾਪਦੰਡ……………………………………………………………………………………… 47
8.6.1. ਆਮ ਟੈਬ……………………………………………………………………………… 47 8.6.2. ਵਾਧੂ ਮੋਡ ਟੈਬ………………………………………………………………………….. 47 8.6.3. ਗੁਣਕ ਟੈਬ……………………………………………………………………………….. 49 8.6.4. ਪ੍ਰੀਡਰ ਟੈਬ………………………………………………………………………. 51 8.6.5. ਇੱਕੂਮੂਲੇਟਰ ਟੈਬ………………………………………………………………….. 53 8.6.6. ਸਿਸਟੋਲਿਕ/ਚੇਨਆਉਟ ਟੈਬ………………………………………………………………. 55 8.6.7. ਪਾਈਪਲਾਈਨਿੰਗ ਟੈਬ……………………………………………………………………………… 56
9. ALTMEMMULT (ਮੈਮੋਰੀ-ਅਧਾਰਿਤ ਸਥਿਰ ਗੁਣਾਂਕ ਗੁਣਕ) IP ਕੋਰ……………………… 57
9.1 ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ………………………………………………………………………………………. 57 9.2. ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ……………………………………………………………………………… 58 9.3. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ……………………………………………………………………….. 58 9.4. ਬੰਦਰਗਾਹਾਂ……………………………………………………………………………………………… 59 9.5. ਮਾਪਦੰਡ……………………………………………………………………………………… 59
10. ALTMULT_ACCUM (ਗੁਣਾ-ਇਕਮੁਲੇਟ) IP ਕੋਰ……………………………………………… 61
10.1 ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ……………………………………………………………………………………….. 62 10.2. ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ……………………………………………………………………………………..62 10.3. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ……………………………………………………………………… 63 10.4. VHDL ਲਾਇਬ੍ਰੇਰੀ_ਉਪਯੋਗ ਘੋਸ਼ਣਾ ………………………………………………………………………….63 10.5. ਬੰਦਰਗਾਹਾਂ…………………………………………………………………………………………. 63 10.6. ਪੈਰਾਮੀਟਰ……………………………………………………………………………………… 64
11. ALTMULT_ADD (ਮਲਟੀਪਲਾਈ-ਐਡਰ) IP ਕੋਰ…………………………………………………………..69
11.1. ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ……………………………………………………………………………………….. 71 11.2. ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ……………………………………………………………………………………..72 11.3. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ……………………………………………………………………… 72 11.4. VHDL ਲਾਇਬ੍ਰੇਰੀ_ਉਪਯੋਗ ਘੋਸ਼ਣਾ ………………………………………………………………………72
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 3
ਸਮੱਗਰੀ
11.5 ਬੰਦਰਗਾਹਾਂ…………………………………………………………………………………………. 72 11.6. ਪੈਰਾਮੀਟਰ……………………………………………………………………………………… 73
12. ALTMULT_COMPLEX (ਕੰਪਲੈਕਸ ਗੁਣਕ) IP ਕੋਰ……………………………………………… 86 12.1। ਗੁੰਝਲਦਾਰ ਗੁਣਾ………………………………………………………………………. 86 12.2. ਕੈਨੋਨੀਕਲ ਪ੍ਰਤੀਨਿਧਤਾ……………………………………………………………………………… 87 12.3. ਰਵਾਇਤੀ ਪ੍ਰਤੀਨਿਧਤਾ………………………………………………………………. 87 12.4. ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ……………………………………………………………………………………….. 88 12.5. ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ…………………………………………………………………………………….. 88 12.6. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ……………………………………………………………………… 89 12.7. VHDL LIBRARY_USE ਘੋਸ਼ਣਾ ……………………………………………………………………………… 89 12.8. ਸਿਗਨਲ……………………………………………………………………………………… 89 12.9. ਪੈਰਾਮੀਟਰ……………………………………………………………………………………… 90
13. ALTSQRT (ਇੰਟੀਜਰ ਵਰਗ ਰੂਟ) IP ਕੋਰ………………………………………………………………92 13.1। ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ……………………………………………………………………………………….. 92 13.2. ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ……………………………………………………………………………………..92 13.3. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ……………………………………………………………………… 93 13.4. VHDL ਲਾਇਬ੍ਰੇਰੀ_ਉਪਯੋਗ ਘੋਸ਼ਣਾ………………………………………………………………………………93 13.5. ਬੰਦਰਗਾਹਾਂ…………………………………………………………………………………………. 93 13.6. ਪੈਰਾਮੀਟਰ……………………………………………………………………………………… 94
14. PARALLEL_ADD (ਪੈਰਾਲਲ ਐਡਰ) IP ਕੋਰ………………………………………………………….. 95 14.1. ਵਿਸ਼ੇਸ਼ਤਾ……………………………………………………………………………………………….95 14.2. ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ……………………………………………………………………………………..95 14.3. VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ……………………………………………………………………… 96 14.4. VHDL ਲਾਇਬ੍ਰੇਰੀ_ਉਪਯੋਗ ਘੋਸ਼ਣਾ………………………………………………………………………………96 14.5. ਬੰਦਰਗਾਹਾਂ…………………………………………………………………………………………. 96 14.6. ਪੈਰਾਮੀਟਰ……………………………………………………………………………………… 97
15. ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਯੂਜ਼ਰ ਗਾਈਡ ਦਸਤਾਵੇਜ਼ ਆਰਕਾਈਵ……………………………… 98
16. Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ ਲਈ ਦਸਤਾਵੇਜ਼ ਸੰਸ਼ੋਧਨ ਇਤਿਹਾਸ…. 99
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 4
ਫੀਡਬੈਕ ਭੇਜੋ
683490 | 2020.10.05 ਫੀਡਬੈਕ ਭੇਜੋ
1. Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ
ਤੁਸੀਂ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਗਣਿਤਿਕ ਕਾਰਵਾਈਆਂ ਕਰਨ ਲਈ Intel® FPGA ਪੂਰਨ ਅੰਕ IP ਕੋਰ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ।
ਇਹ ਫੰਕਸ਼ਨ ਤੁਹਾਡੇ ਆਪਣੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਕੋਡਿੰਗ ਕਰਨ ਨਾਲੋਂ ਵਧੇਰੇ ਕੁਸ਼ਲ ਤਰਕ ਸੰਸ਼ਲੇਸ਼ਣ ਅਤੇ ਡਿਵਾਈਸ ਲਾਗੂ ਕਰਨ ਦੀ ਪੇਸ਼ਕਸ਼ ਕਰਦੇ ਹਨ। ਤੁਸੀਂ ਆਪਣੀਆਂ ਡਿਜ਼ਾਈਨ ਲੋੜਾਂ ਨੂੰ ਪੂਰਾ ਕਰਨ ਲਈ IP ਕੋਰ ਨੂੰ ਅਨੁਕੂਲਿਤ ਕਰ ਸਕਦੇ ਹੋ।
ਇੰਟੈੱਲ ਪੂਰਨ ਅੰਕ ਗਣਿਤ ਦੇ IP ਕੋਰ ਨੂੰ ਹੇਠ ਲਿਖੀਆਂ ਦੋ ਸ਼੍ਰੇਣੀਆਂ ਵਿੱਚ ਵੰਡਿਆ ਗਿਆ ਹੈ: · ਪੈਰਾਮੀਟਰਾਈਜ਼ਡ ਮੋਡੀਊਲ (LPM) IP ਕੋਰਾਂ ਦੀ ਲਾਇਬ੍ਰੇਰੀ · Intel-ਵਿਸ਼ੇਸ਼ (ALT) IP ਕੋਰ
ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ ਵਿੱਚ ਪੂਰਨ ਅੰਕ ਗਣਿਤ IP ਕੋਰਾਂ ਦੀ ਸੂਚੀ ਹੈ।
ਸਾਰਣੀ 1.
IP ਕੋਰਾਂ ਦੀ ਸੂਚੀ
IP ਕੋਰ
LPM IP ਕੋਰ
LPM_COUNTER
LPM_DIVIDE
LPM_MULT
LPM_ADD_SUB
LPM_COMPARE
Intel-ਵਿਸ਼ੇਸ਼ (ALT) IP ਕੋਰ ALTECC
ਫੰਕਸ਼ਨ ਸਮਾਪਤview ਕਾਊਂਟਰ ਡਿਵਾਈਡਰ ਗੁਣਕ
ਯੋਜਕ ਜਾਂ ਘਟਕ ਤੁਲਨਾਕਾਰ
ECC ਏਨਕੋਡਰ/ਡੀਕੋਡਰ
ਸਮਰਥਿਤ ਡਿਵਾਈਸ
Arria® II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone® IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP,
Intel ਚੱਕਰਵਾਤ 10 GX, MAX® II, MAX V, MAX 10, Stratix® IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, ਚੱਕਰਵਾਤ IV E, ਚੱਕਰਵਾਤ IV GX,
ਚੱਕਰਵਾਤ V, Intel ਚੱਕਰਵਾਤ 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, ਚੱਕਰਵਾਤ IV E, ਚੱਕਰਵਾਤ IV GX,
ਚੱਕਰਵਾਤ V, Intel ਚੱਕਰਵਾਤ 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, ਚੱਕਰਵਾਤ IV E, ਚੱਕਰਵਾਤ IV GX, ਚੱਕਰਵਾਤ V, Intel ਚੱਕਰਵਾਤ 10 LP, MAX 10, MAX
II, MAX V, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, ਚੱਕਰਵਾਤ IV E, ਚੱਕਰਵਾਤ IV GX, ਚੱਕਰਵਾਤ V, Intel ਚੱਕਰਵਾਤ 10 LP, MAX 10, MAX
II, MAX V, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, ਚੱਕਰਵਾਤ IV E, ਚੱਕਰਵਾਤ IV GX,
ਚੱਕਰਵਾਤ V, Intel ਚੱਕਰਵਾਤ 10 LP, Intel ਚੱਕਰਵਾਤ 10 GX, MAX II, MAX V, MAX
10, ਸਟ੍ਰੈਟਿਕਸ IV, ਸਟ੍ਰੈਟਿਕਸ V ਜਾਰੀ ਰਿਹਾ...
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਲਈ ਵਾਰੰਟ ਦਿੰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਅਰਜ਼ੀ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ISO 9001:2015 ਰਜਿਸਟਰਡ
1. Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ 683490 | 2020.10.05
IP ਕੋਰ Intel FPGA ਗੁਣਾ ਐਡਰ ਜਾਂ ALTERA_MULT_ADD ALTMEMMULT
ALTMULT_ACCUM ALTMULT_ADD ALTMULT_COMPLEX
ALTSQRT
PARALLEL_ADD
ਫੰਕਸ਼ਨ ਸਮਾਪਤview ਗੁਣਕ-ਯੋਜਕ
ਮੈਮੋਰੀ-ਅਧਾਰਿਤ ਸਥਿਰ ਗੁਣਾਂਕ ਗੁਣਕ
ਗੁਣਕ-ਇਕੂਮੂਲੇਟਰ ਗੁਣਕ-ਯੋਜਕ
ਗੁੰਝਲਦਾਰ ਗੁਣਕ
ਪੂਰਨ ਅੰਕ ਵਰਗ-ਰੂਟ
ਪੈਰਲਲ ਐਡਰ
ਸਮਰਥਿਤ ਡਿਵਾਈਸ
Arria V, Stratix V, Cyclone V, Intel Stratix 10, Intel Arria 10, Intel Cyclone
10 GX
Arria II GX, Arria II GZ, Arria V, Intel Arria 10 (Intel Quartus® Prime Standard Edition), Cyclone IV E, Cyclone IV GX, Cyclone V, Intel
ਚੱਕਰਵਾਤ 10 LP, MAX II, MAX V, MAX 10, Stratix IV, Stratix V
Arria II GX, Arria II GZ, ਚੱਕਰਵਾਤ IV E, ਚੱਕਰਵਾਤ IV GX, Intel Cyclone 10 LP, MAX 10, MAX II, MAX V, Stratix IV
Arria II GX, Arria II GZ, ਚੱਕਰਵਾਤ IV E, ਚੱਕਰਵਾਤ IV GX, Intel ਚੱਕਰਵਾਤ 10 LP, MAX 10, MAX II, MAX V, ਸਟ੍ਰੈਟਿਕਸ IV
Arria II GX, Arria II GZ, Intel Arria 10, Arria V, Arria V GZ, ਚੱਕਰਵਾਤ IV E, ਚੱਕਰਵਾਤ IV GX, ਚੱਕਰਵਾਤ V, Intel
ਚੱਕਰਵਾਤ 10 GX, Intel Cyclone 10 LP, MAX 10, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, ਚੱਕਰਵਾਤ IV E, ਚੱਕਰਵਾਤ IV GX,
ਚੱਕਰਵਾਤ V, Intel ਚੱਕਰਵਾਤ 10 LP, Intel ਚੱਕਰਵਾਤ 10 GX, MAX II, MAX V, MAX
10, ਸਟ੍ਰੈਟਿਕਸ IV, ਸਟ੍ਰੈਟਿਕਸ V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, ਚੱਕਰਵਾਤ IV E, ਚੱਕਰਵਾਤ IV GX,
ਚੱਕਰਵਾਤ V, Intel ਚੱਕਰਵਾਤ 10 LP, Intel ਚੱਕਰਵਾਤ 10 GX, MAX II, MAX V, MAX
10, ਸਟ੍ਰੈਟਿਕਸ IV, ਸਟ੍ਰੈਟਿਕਸ V
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
· Intel FPGAs ਅਤੇ ਪ੍ਰੋਗਰਾਮੇਬਲ ਡਿਵਾਈਸ ਰੀਲੀਜ਼ ਨੋਟਸ
· Intel FPGA IP Cores ਦੀ ਜਾਣ-ਪਛਾਣ Intel FPGA IP ਕੋਰਾਂ ਬਾਰੇ ਹੋਰ ਜਾਣਕਾਰੀ ਪ੍ਰਦਾਨ ਕਰਦੀ ਹੈ।
· ਫਲੋਟਿੰਗ-ਪੁਆਇੰਟ IP ਕੋਰ ਯੂਜ਼ਰ ਗਾਈਡ Intel FPGA ਫਲੋਟਿੰਗ-ਪੁਆਇੰਟ IP ਕੋਰ ਬਾਰੇ ਹੋਰ ਜਾਣਕਾਰੀ ਪ੍ਰਦਾਨ ਕਰਦੀ ਹੈ।
· Intel FPGA IP ਕੋਰ ਦੀ ਜਾਣ-ਪਛਾਣ ਸਾਰੇ Intel FPGA IP ਕੋਰਾਂ ਬਾਰੇ ਆਮ ਜਾਣਕਾਰੀ ਪ੍ਰਦਾਨ ਕਰਦੀ ਹੈ, ਜਿਸ ਵਿੱਚ ਪੈਰਾਮੀਟਰਾਈਜ਼ਿੰਗ, ਜਨਰੇਟਿੰਗ, ਅੱਪਗਰੇਡ ਅਤੇ IP ਕੋਰ ਦੀ ਨਕਲ ਸ਼ਾਮਲ ਹੈ।
· ਸੰਸਕਰਣ-ਸੁਤੰਤਰ IP ਅਤੇ Qsys ਸਿਮੂਲੇਸ਼ਨ ਸਕ੍ਰਿਪਟਾਂ ਬਣਾਉਣਾ ਸਿਮੂਲੇਸ਼ਨ ਸਕ੍ਰਿਪਟਾਂ ਬਣਾਓ ਜਿਨ੍ਹਾਂ ਨੂੰ ਸੌਫਟਵੇਅਰ ਜਾਂ IP ਸੰਸਕਰਣ ਅੱਪਗਰੇਡਾਂ ਲਈ ਮੈਨੂਅਲ ਅੱਪਡੇਟ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
· ਤੁਹਾਡੇ ਪ੍ਰੋਜੈਕਟ ਅਤੇ IP ਦੇ ਕੁਸ਼ਲ ਪ੍ਰਬੰਧਨ ਅਤੇ ਪੋਰਟੇਬਿਲਟੀ ਲਈ ਪ੍ਰੋਜੈਕਟ ਪ੍ਰਬੰਧਨ ਵਧੀਆ ਅਭਿਆਸ ਦਿਸ਼ਾ-ਨਿਰਦੇਸ਼ files.
· ਪੂਰਨ ਅੰਕ ਗਣਿਤ IP ਕੋਰ ਯੂਜ਼ਰ ਗਾਈਡ ਦਸਤਾਵੇਜ਼ ਪੁਰਾਲੇਖ ਪੰਨਾ 98 'ਤੇ ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਦੇ ਪਿਛਲੇ ਸੰਸਕਰਣਾਂ ਲਈ ਉਪਭੋਗਤਾ ਗਾਈਡਾਂ ਦੀ ਸੂਚੀ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 6
ਫੀਡਬੈਕ ਭੇਜੋ
683490 | 2020.10.05 ਫੀਡਬੈਕ ਭੇਜੋ
2. LPM_COUNTER (ਕਾਊਂਟਰ) IP ਕੋਰ
ਚਿੱਤਰ 1.
LPM_COUNTER IP ਕੋਰ ਇੱਕ ਬਾਈਨਰੀ ਕਾਊਂਟਰ ਹੈ ਜੋ 256 ਬਿੱਟ ਚੌੜੇ ਤੱਕ ਦੇ ਆਉਟਪੁੱਟ ਦੇ ਨਾਲ ਅੱਪ ਕਾਊਂਟਰ, ਡਾਊਨ ਕਾਊਂਟਰ ਅਤੇ ਉੱਪਰ ਜਾਂ ਹੇਠਾਂ ਕਾਊਂਟਰ ਬਣਾਉਂਦਾ ਹੈ।
ਹੇਠਾਂ ਦਿੱਤਾ ਚਿੱਤਰ LPM_COUNTER IP ਕੋਰ ਲਈ ਪੋਰਟਾਂ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।
LPM_COUNTER ਪੋਰਟ
LPM_COUNTER
ssclr ਸਲੋਡ sset ਡਾਟਾ[]
ਕਿਊ[]
ਉੱਪਰ ਥੱਲੇ
ਕਾਉਟ
aclr aload ਸੰਪਤੀ
clk_en cnt_en cin
inst
2.1 ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ
LPM_COUNTER IP ਕੋਰ ਹੇਠ ਲਿਖੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੀ ਪੇਸ਼ਕਸ਼ ਕਰਦਾ ਹੈ: · ਉੱਪਰ, ਹੇਠਾਂ ਅਤੇ ਉੱਪਰ/ਡਾਊਨ ਕਾਊਂਟਰ ਬਣਾਉਂਦਾ ਹੈ · ਹੇਠਾਂ ਦਿੱਤੀਆਂ ਕਾਊਂਟਰ ਕਿਸਮਾਂ ਬਣਾਉਂਦਾ ਹੈ:
- ਪਲੇਨ ਬਾਈਨਰੀ- ਜ਼ੀਰੋ ਤੋਂ ਸ਼ੁਰੂ ਹੋਣ ਵਾਲੇ ਕਾਊਂਟਰ ਵਾਧੇ ਜਾਂ 255 ਤੋਂ ਸ਼ੁਰੂ ਹੋਣ ਵਾਲੇ ਘਟਾਓ
— ਮਾਡਿਊਲਸ—ਉਪਭੋਗਤਾ ਦੁਆਰਾ ਨਿਰਦਿਸ਼ਟ ਮਾਡਿਊਲਸ ਮੁੱਲ ਤੋਂ ਪ੍ਰਤੀਕੂਲ ਵਾਧਾ ਜਾਂ ਘਟਾਉਂਦਾ ਹੈ ਅਤੇ ਦੁਹਰਾਉਂਦਾ ਹੈ
· ਵਿਕਲਪਿਕ ਸਮਕਾਲੀ ਕਲੀਅਰ, ਲੋਡ, ਅਤੇ ਸੈੱਟ ਇਨਪੁਟ ਪੋਰਟਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਵਿਕਲਪਿਕ ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ, ਲੋਡ ਅਤੇ ਸੈੱਟ ਇਨਪੁਟ ਪੋਰਟਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਵਿਕਲਪਿਕ ਗਿਣਤੀ ਨੂੰ ਸਮਰੱਥ ਅਤੇ ਘੜੀ ਇਨਪੁਟ ਪੋਰਟਾਂ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਵਿਕਲਪਿਕ ਕੈਰੀ-ਇਨ ਅਤੇ ਕੈਰੀ-ਆਊਟ ਪੋਰਟਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਲਈ ਵਾਰੰਟ ਦਿੰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਅਰਜ਼ੀ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ISO 9001:2015 ਰਜਿਸਟਰਡ
2. LPM_COUNTER (ਕਾਊਂਟਰ) IP ਕੋਰ
683490 | 2020.10.05 ਹੈ
2.2 Verilog HDL ਪ੍ਰੋਟੋਟਾਈਪ
ਨਿਮਨਲਿਖਤ ਵੇਰੀਲੋਗ ਐਚਡੀਐਲ ਪ੍ਰੋਟੋਟਾਈਪ ਵੇਰੀਲੌਗ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.v) lpm.v ਵਿੱਚ edasynthesis ਡਾਇਰੈਕਟਰੀ.
ਮੋਡੀਊਲ lpm_counter (q, data, clock, cin, cout, clk_en, cnt_en, ਅੱਪਡਾਉਨ, ਐਸੇਟ, aclr, aload, sset, sclr, sload, eq); ਪੈਰਾਮੀਟਰ lpm_type = "lpm_counter"; ਪੈਰਾਮੀਟਰ lpm_width = 1; ਪੈਰਾਮੀਟਰ lpm_modulus = 0; ਪੈਰਾਮੀਟਰ lpm_direction = “ਅਣਵਰਤਿਆ”; ਪੈਰਾਮੀਟਰ lpm_value = “ਅਣਵਰਤਿਆ”; ਪੈਰਾਮੀਟਰ lpm_svalue = “ਅਣਵਰਤਿਆ”; ਪੈਰਾਮੀਟਰ lpm_pvalue = “ਅਣਵਰਤਿਆ”; ਪੈਰਾਮੀਟਰ lpm_port_updown = “PORT_CONNECTIVITY”; ਪੈਰਾਮੀਟਰ lpm_hint = “ਅਣਵਰਤਿਆ”; ਆਉਟਪੁੱਟ [lpm_width-1:0] q; ਆਉਟਪੁੱਟ ਕਾਉਟ; ਆਉਟਪੁੱਟ [15:0] eq; ਇਨਪੁਟ cin; ਇਨਪੁਟ [lpm_width-1:0] ਡਾਟਾ; ਇਨਪੁਟ ਘੜੀ, clk_en, cnt_en, ਅੱਪਡਾਉਨ; ਇੰਪੁੱਟ ਸੰਪਤੀ, aclr, aload; ਇੰਪੁੱਟ sset, sclr, ਸਲੋਡ; endmodule
2.3 VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ
VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.vhd) ਵਿੱਚ LPM_PACK.vhd librariesvhdllpm ਡਾਇਰੈਕਟਰੀ.
ਕੰਪੋਨੈਂਟ LPM_COUNTER ਜੈਨਰਿਕ ( LPM_WIDTH : ਕੁਦਰਤੀ; LPM_MODULUS : ਕੁਦਰਤੀ := 0; LPM_DIRECTION : ਸਟ੍ਰਿੰਗ := “ਅਣਵਰਤਿਆ”; LPM_AVALUE : ਸਟ੍ਰਿੰਗ := “ਅਣਵਰਤਿਆ”; LPM_SVALUE : ਸਤਰ := “ਅਣਵਰਤਿਆ”; LPM_SVALUE : ਸਤਰ := “ਅਣਵਰਤਿਆ”; LPM_DIRECTION = string_UPDNPORTY ; LPM_PVALUE : ਸਤਰ := “ਅਣਵਰਤਿਆ”; LPM_TYPE : ਸਤਰ := L_COUNTER; LPM_HINT : ਸਤਰ := “ਅਣਵਰਤਿਆ”); ਪੋਰਟ (ਡਾਟਾ: std_logic_vector ਵਿੱਚ(LPM_WIDTH-1 down to 0):= (ਹੋਰ =>
'0'); ਘੜੀ : std_logic ਵਿੱਚ ; CLK_EN : std_logic ਵਿੱਚ := '1'; CNT_EN : std_logic ਵਿੱਚ := '1'; ਅੱਪਡਾਊਨ : std_logic ਵਿੱਚ := '1'; ਸਲੋਡ: std_logic ਵਿੱਚ := '0'; SSET : std_logic ਵਿੱਚ := '0'; SCLR : std_logic ਵਿੱਚ := '0'; ALOAD : std_logic ਵਿੱਚ := '0'; ASET : std_logic ਵਿੱਚ := '0'; ACLR : std_logic ਵਿੱਚ := '0'; CIN : std_logic ਵਿੱਚ := '1'; COUT : out std_logic := '0'; Q: ਬਾਹਰ std_logic_vector(LPM_WIDTH-1 down to 0); EQ: ਬਾਹਰ std_logic_vector(15 downto 0));
ਅੰਤ ਭਾਗ;
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 8
ਫੀਡਬੈਕ ਭੇਜੋ
2. LPM_COUNTER (ਕਾਊਂਟਰ) IP ਕੋਰ 683490 | 2020.10.05
2.4 VHDL LIBRARY_USE ਘੋਸ਼ਣਾ ਪੱਤਰ
ਜੇਕਰ ਤੁਸੀਂ VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋ ਤਾਂ VHDL ਲਾਇਬ੍ਰੇਰੀ-ਯੂਜ਼ ਘੋਸ਼ਣਾ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
ਲਾਇਬ੍ਰੇਰੀ ਐਲਪੀਐਮ; lpm.lpm_components.all ਦੀ ਵਰਤੋਂ ਕਰੋ;
2.5. ਬੰਦਰਗਾਹਾਂ
ਹੇਠ ਲਿਖੀਆਂ ਸਾਰਣੀਆਂ LPM_COUNTER IP ਕੋਰ ਲਈ ਇਨਪੁਟ ਅਤੇ ਆਉਟਪੁੱਟ ਪੋਰਟਾਂ ਨੂੰ ਸੂਚੀਬੱਧ ਕਰਦੀਆਂ ਹਨ।
ਸਾਰਣੀ 2.
LPM_COUNTER ਇਨਪੁਟ ਪੋਰਟ
ਪੋਰਟ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਡਾਟਾ[]
ਨੰ
ਕਾਊਂਟਰ ਲਈ ਸਮਾਨਾਂਤਰ ਡੇਟਾ ਇੰਪੁੱਟ। ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ LPM_WIDTH ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
ਘੜੀ
ਹਾਂ
ਸਕਾਰਾਤਮਕ-ਕਿਨਾਰੇ-ਟਰਿੱਗਰਡ ਘੜੀ ਇੰਪੁੱਟ।
clk_en
ਨੰ
ਸਾਰੀਆਂ ਸਮਕਾਲੀ ਗਤੀਵਿਧੀਆਂ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਘੜੀ ਇਨਪੁਟ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 1 ਹੁੰਦਾ ਹੈ।
cnt_en
ਨੰ
ਸਲੋਡ, sset, ਜਾਂ sclr ਨੂੰ ਪ੍ਰਭਾਵਿਤ ਕੀਤੇ ਬਿਨਾਂ ਘੱਟ ਹੋਣ ਦਾ ਦਾਅਵਾ ਕਰਨ 'ਤੇ ਗਿਣਤੀ ਨੂੰ ਅਯੋਗ ਕਰਨ ਲਈ ਕਾਉਂਟ ਇਨਪੁਟ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 1 ਹੁੰਦਾ ਹੈ।
ਉੱਪਰ ਥੱਲੇ
ਨੰ
ਗਿਣਤੀ ਦੀ ਦਿਸ਼ਾ ਨੂੰ ਕੰਟਰੋਲ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਉੱਚ (1) ਦਾ ਦਾਅਵਾ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਗਿਣਤੀ ਦਿਸ਼ਾ ਉੱਪਰ ਹੁੰਦੀ ਹੈ, ਅਤੇ ਜਦੋਂ ਘੱਟ (0) ਦਾ ਦਾਅਵਾ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਗਿਣਤੀ ਦੀ ਦਿਸ਼ਾ ਹੇਠਾਂ ਹੁੰਦੀ ਹੈ। ਜੇਕਰ LPM_DIRECTION ਪੈਰਾਮੀਟਰ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਅੱਪਡਾਊਨ ਪੋਰਟ ਕਨੈਕਟ ਨਹੀਂ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ। ਜੇਕਰ LPM_DIRECTION ਦੀ ਵਰਤੋਂ ਨਹੀਂ ਕੀਤੀ ਜਾਂਦੀ ਹੈ, ਤਾਂ ਅੱਪਡਾਊਨ ਪੋਰਟ ਵਿਕਲਪਿਕ ਹੈ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ ਵੱਧ ਹੁੰਦਾ ਹੈ (1)।
ਸਿੰਨ
ਨੰ
ਘੱਟ-ਆਰਡਰ ਬਿੱਟ ਵਿੱਚ ਕੈਰੀ-ਇਨ ਕਰੋ। ਅਪ ਕਾਉਂਟਰਾਂ ਲਈ, ਸਿਨ ਇੰਪੁੱਟ ਦਾ ਵਿਵਹਾਰ ਹੈ
cnt_en ਇੰਪੁੱਟ ਦੇ ਵਿਹਾਰ ਦੇ ਸਮਾਨ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 1 ਹੁੰਦਾ ਹੈ
(ਵੀ.ਸੀ.ਸੀ.)।
aclr
ਨੰ
ਅਸਿੰਕ੍ਰੋਨਸ ਸਾਫ਼ ਇੰਪੁੱਟ। ਜੇਕਰ ਸੰਪਤੀ ਅਤੇ aclr ਦੋਵੇਂ ਵਰਤੇ ਜਾਂਦੇ ਹਨ ਅਤੇ ਦਾਅਵਾ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਤਾਂ aclr ਸੰਪਤੀ ਨੂੰ ਓਵਰਰਾਈਡ ਕਰਦਾ ਹੈ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 0 (ਅਯੋਗ) ਹੁੰਦਾ ਹੈ।
ਐਸੇਟ
ਨੰ
ਅਸਿੰਕ੍ਰੋਨਸ ਸੈੱਟ ਇੰਪੁੱਟ। q[] ਆਉਟਪੁੱਟ ਨੂੰ ਸਾਰੇ 1s, ਜਾਂ LPM_AVALUE ਪੈਰਾਮੀਟਰ ਦੁਆਰਾ ਨਿਰਦਿਸ਼ਟ ਮੁੱਲ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਜੇਕਰ ਸੰਪੱਤੀ ਅਤੇ aclr ਪੋਰਟ ਦੋਵੇਂ ਵਰਤੇ ਜਾਂਦੇ ਹਨ ਅਤੇ ਦਾਅਵਾ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਤਾਂ aclr ਪੋਰਟ ਦਾ ਮੁੱਲ ਸੰਪਤੀ ਪੋਰਟ ਦੇ ਮੁੱਲ ਨੂੰ ਓਵਰਰਾਈਡ ਕਰਦਾ ਹੈ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 0 ਹੁੰਦਾ ਹੈ, ਅਯੋਗ।
aload
ਨੰ
ਅਸਿੰਕ੍ਰੋਨਸ ਲੋਡ ਇੰਪੁੱਟ ਜੋ ਡਾਟਾ ਇਨਪੁਟ 'ਤੇ ਮੁੱਲ ਦੇ ਨਾਲ ਅਸਿੰਕ੍ਰੋਨਸ ਤੌਰ 'ਤੇ ਕਾਊਂਟਰ ਨੂੰ ਲੋਡ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਅਲੋਡ ਪੋਰਟ ਦੀ ਵਰਤੋਂ ਕੀਤੀ ਜਾਂਦੀ ਹੈ, ਤਾਂ ਡੇਟਾ[] ਪੋਰਟ ਕਨੈਕਟ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 0 ਹੁੰਦਾ ਹੈ, ਅਯੋਗ।
sclr
ਨੰ
ਸਮਕਾਲੀ ਸਾਫ਼ ਇੰਪੁੱਟ ਜੋ ਅਗਲੀ ਸਰਗਰਮ ਘੜੀ ਦੇ ਕਿਨਾਰੇ 'ਤੇ ਕਾਊਂਟਰ ਨੂੰ ਸਾਫ਼ ਕਰਦਾ ਹੈ। ਜੇਕਰ sset ਅਤੇ sclr ਪੋਰਟਾਂ ਦੀ ਵਰਤੋਂ ਕੀਤੀ ਜਾਂਦੀ ਹੈ ਅਤੇ ਦਾਅਵਾ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਤਾਂ sclr ਪੋਰਟ ਦਾ ਮੁੱਲ sset ਪੋਰਟ ਦੇ ਮੁੱਲ ਨੂੰ ਓਵਰਰਾਈਡ ਕਰਦਾ ਹੈ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 0 ਹੁੰਦਾ ਹੈ, ਅਯੋਗ।
sset
ਨੰ
ਸਮਕਾਲੀ ਸੈੱਟ ਇਨਪੁਟ ਜੋ ਕਾਊਂਟਰ ਨੂੰ ਅਗਲੀ ਸਰਗਰਮ ਘੜੀ ਦੇ ਕਿਨਾਰੇ 'ਤੇ ਸੈੱਟ ਕਰਦਾ ਹੈ। q ਆਉਟਪੁੱਟ ਦੇ ਮੁੱਲ ਨੂੰ ਸਾਰੇ 1s, ਜਾਂ LPM_SVALUE ਪੈਰਾਮੀਟਰ ਦੁਆਰਾ ਨਿਰਦਿਸ਼ਟ ਮੁੱਲ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਜੇਕਰ sset ਅਤੇ sclr ਪੋਰਟਾਂ ਦੀ ਵਰਤੋਂ ਕੀਤੀ ਜਾਂਦੀ ਹੈ ਅਤੇ ਦਾਅਵਾ ਕੀਤਾ ਜਾਂਦਾ ਹੈ,
sclr ਪੋਰਟ ਦਾ ਮੁੱਲ sset ਪੋਰਟ ਦੇ ਮੁੱਲ ਨੂੰ ਓਵਰਰਾਈਡ ਕਰਦਾ ਹੈ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 0 (ਅਯੋਗ) ਹੁੰਦਾ ਹੈ।
ਸਲੋਡ
ਨੰ
ਸਮਕਾਲੀ ਲੋਡ ਇੰਪੁੱਟ ਜੋ ਕਾਊਂਟਰ ਨੂੰ ਡੇਟਾ[] ਨਾਲ ਅਗਲੇ ਕਿਰਿਆਸ਼ੀਲ ਘੜੀ ਦੇ ਕਿਨਾਰੇ 'ਤੇ ਲੋਡ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਸਲੋਡ ਪੋਰਟ ਦੀ ਵਰਤੋਂ ਕੀਤੀ ਜਾਂਦੀ ਹੈ, ਤਾਂ ਡੇਟਾ[] ਪੋਰਟ ਕਨੈਕਟ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 0 (ਅਯੋਗ) ਹੁੰਦਾ ਹੈ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 9
2. LPM_COUNTER (ਕਾਊਂਟਰ) IP ਕੋਰ 683490 | 2020.10.05
ਸਾਰਣੀ 3.
LPM_COUNTER ਆਉਟਪੁੱਟ ਪੋਰਟ
ਪੋਰਟ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਕਿਊ[]
ਨੰ
ਕਾਊਂਟਰ ਤੋਂ ਡਾਟਾ ਆਉਟਪੁੱਟ। ਆਉਟਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ
LPM_WIDTH ਪੈਰਾਮੀਟਰ ਮੁੱਲ। ਜਾਂ ਤਾਂ q[] ਜਾਂ ਘੱਟੋ-ਘੱਟ eq[15..0] ਪੋਰਟਾਂ ਵਿੱਚੋਂ ਇੱਕ
ਜੁੜਿਆ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ.
eq[15..0]
ਨੰ
ਕਾਊਂਟਰ ਡੀਕੋਡ ਆਉਟਪੁੱਟ। eq[15..0] ਪੋਰਟ ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਵਿੱਚ ਪਹੁੰਚਯੋਗ ਨਹੀਂ ਹੈ ਕਿਉਂਕਿ ਪੈਰਾਮੀਟਰ ਸਿਰਫ਼ AHDL ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
ਜਾਂ ਤਾਂ q[] ਪੋਰਟ ਜਾਂ eq[] ਪੋਰਟ ਕਨੈਕਟ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ। c eq ਪੋਰਟਾਂ ਦੀ ਵਰਤੋਂ ਕੀਤੀ ਜਾ ਸਕਦੀ ਹੈ (0 <= c <= 15)। ਸਿਰਫ਼ 16 ਸਭ ਤੋਂ ਘੱਟ ਗਿਣਤੀ ਦੇ ਮੁੱਲ ਡੀਕੋਡ ਕੀਤੇ ਗਏ ਹਨ। ਜਦੋਂ ਗਿਣਤੀ ਦਾ ਮੁੱਲ c ਹੁੰਦਾ ਹੈ, ਤਾਂ eqc ਆਉਟਪੁੱਟ ਉੱਚ (1) ਮੰਨੀ ਜਾਂਦੀ ਹੈ। ਸਾਬਕਾ ਲਈample, ਜਦੋਂ ਗਿਣਤੀ 0 ਹੁੰਦੀ ਹੈ, eq0 = 1, ਜਦੋਂ ਗਿਣਤੀ 1 ਹੁੰਦੀ ਹੈ, eq1 = 1, ਅਤੇ ਜਦੋਂ ਗਿਣਤੀ 15 ਹੁੰਦੀ ਹੈ, eq 15 = 1. 16 ਜਾਂ ਇਸ ਤੋਂ ਵੱਧ ਗਿਣਤੀ ਦੇ ਮੁੱਲਾਂ ਲਈ ਡੀਕੋਡ ਕੀਤੀ ਆਉਟਪੁੱਟ ਲਈ ਬਾਹਰੀ ਡੀਕੋਡਿੰਗ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ। eq[15..0] ਆਉਟਪੁੱਟ q[] ਆਉਟਪੁੱਟ ਦੇ ਅਸਿੰਕ੍ਰੋਨਸ ਹਨ।
ਕਾਉਟ
ਨੰ
ਕਾਊਂਟਰ ਦੇ MSB ਬਿੱਟ ਦਾ ਕੈਰੀ-ਆਊਟ ਪੋਰਟ। ਇਹ ਇੱਕ ਵੱਡਾ ਕਾਊਂਟਰ ਬਣਾਉਣ ਲਈ ਕਿਸੇ ਹੋਰ ਕਾਊਂਟਰ ਨਾਲ ਜੁੜਨ ਲਈ ਵਰਤਿਆ ਜਾ ਸਕਦਾ ਹੈ।
2.6. ਪੈਰਾਮੀਟਰ
ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ LPM_COUNTER IP ਕੋਰ ਲਈ ਮਾਪਦੰਡਾਂ ਨੂੰ ਸੂਚੀਬੱਧ ਕਰਦੀ ਹੈ।
ਸਾਰਣੀ 4.
LPM_COUNTER ਪੈਰਾਮੀਟਰ
ਪੈਰਾਮੀਟਰ ਦਾ ਨਾਮ
ਟਾਈਪ ਕਰੋ
LPM_WIDTH
ਪੂਰਨ ਅੰਕ
LPM_DIRECTION
ਸਤਰ
LPM_MODULUS LPM_AVALUE
ਪੂਰਨ ਅੰਕ
ਪੂਰਨ ਅੰਕ/ਸਤਰ
LPM_SVALUE LPM_HINT
ਪੂਰਨ ਅੰਕ/ਸਤਰ
ਸਤਰ
LPM_TYPE
ਸਤਰ
ਲੋੜੀਂਦਾ ਹਾਂ ਨਹੀਂ ਨਹੀਂ ਨਹੀਂ
ਨਹੀਂ ਨਹੀਂ
ਨੰ
ਵਰਣਨ
ਡੇਟਾ[] ਅਤੇ q[] ਪੋਰਟਾਂ ਦੀ ਚੌੜਾਈ ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ, ਜੇਕਰ ਉਹ ਵਰਤੇ ਜਾਂਦੇ ਹਨ।
ਮੁੱਲ UP, DOWN, ਅਤੇ ਅਣਵਰਤੇ ਹਨ। ਜੇਕਰ LPM_DIRECTION ਪੈਰਾਮੀਟਰ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਅੱਪਡਾਊਨ ਪੋਰਟ ਕਨੈਕਟ ਨਹੀਂ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ। ਜਦੋਂ ਅੱਪਡਾਊਨ ਪੋਰਟ ਕਨੈਕਟ ਨਹੀਂ ਹੁੰਦਾ ਹੈ, ਤਾਂ LPM_DIRECTION ਪੈਰਾਮੀਟਰ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ UP ਹੁੰਦਾ ਹੈ।
ਵੱਧ ਤੋਂ ਵੱਧ ਗਿਣਤੀ, ਪਲੱਸ ਇੱਕ। ਕਾਊਂਟਰ ਦੇ ਚੱਕਰ ਵਿੱਚ ਵਿਲੱਖਣ ਅਵਸਥਾਵਾਂ ਦੀ ਸੰਖਿਆ। ਜੇਕਰ ਲੋਡ ਮੁੱਲ LPM_MODULUS ਪੈਰਾਮੀਟਰ ਤੋਂ ਵੱਡਾ ਹੈ, ਤਾਂ ਕਾਊਂਟਰ ਦਾ ਵਿਵਹਾਰ ਨਿਰਧਾਰਤ ਨਹੀਂ ਕੀਤਾ ਗਿਆ ਹੈ।
ਸਥਿਰ ਮੁੱਲ ਜੋ ਉਦੋਂ ਲੋਡ ਹੁੰਦਾ ਹੈ ਜਦੋਂ ਸੰਪੱਤੀ ਨੂੰ ਉੱਚ ਮੰਨਿਆ ਜਾਂਦਾ ਹੈ। ਜੇਕਰ ਨਿਰਦਿਸ਼ਟ ਮੁੱਲ ਇਸ ਤੋਂ ਵੱਡਾ ਜਾਂ ਬਰਾਬਰ ਹੈ , ਕਾਊਂਟਰ ਦਾ ਵਿਵਹਾਰ ਇੱਕ ਪਰਿਭਾਸ਼ਿਤ (X) ਤਰਕ ਪੱਧਰ ਹੈ, ਜਿੱਥੇ LPM_MODULUS ਹੈ, ਜੇਕਰ ਮੌਜੂਦ ਹੈ, ਜਾਂ 2 ^ LPM_WIDTH ਹੈ। Intel ਸਿਫ਼ਾਰਿਸ਼ ਕਰਦਾ ਹੈ ਕਿ ਤੁਸੀਂ AHDL ਡਿਜ਼ਾਈਨ ਲਈ ਦਸ਼ਮਲਵ ਸੰਖਿਆ ਦੇ ਤੌਰ 'ਤੇ ਇਹ ਮੁੱਲ ਨਿਰਧਾਰਤ ਕਰੋ।
ਸਥਿਰ ਮੁੱਲ ਜੋ ਕਿ ਕਲਾਕ ਪੋਰਟ ਦੇ ਵਧਦੇ ਕਿਨਾਰੇ 'ਤੇ ਲੋਡ ਕੀਤਾ ਜਾਂਦਾ ਹੈ ਜਦੋਂ sset ਪੋਰਟ ਨੂੰ ਉੱਚਾ ਮੰਨਿਆ ਜਾਂਦਾ ਹੈ। Intel ਸਿਫ਼ਾਰਿਸ਼ ਕਰਦਾ ਹੈ ਕਿ ਤੁਸੀਂ AHDL ਡਿਜ਼ਾਈਨ ਲਈ ਇਸ ਮੁੱਲ ਨੂੰ ਦਸ਼ਮਲਵ ਸੰਖਿਆ ਦੇ ਤੌਰ 'ਤੇ ਦਿਓ।
ਜਦੋਂ ਤੁਸੀਂ ਇੱਕ VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਪੈਰਾਮੀਟਰਾਈਜ਼ਡ ਮੋਡੀਊਲ (LPM) ਫੰਕਸ਼ਨ ਦੀ ਇੱਕ ਲਾਇਬ੍ਰੇਰੀ ਨੂੰ ਚਾਲੂ ਕਰਦੇ ਹੋ File (.vhd), ਤੁਹਾਨੂੰ Intel-ਵਿਸ਼ੇਸ਼ ਪੈਰਾਮੀਟਰ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ LPM_HINT ਪੈਰਾਮੀਟਰ ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ। ਸਾਬਕਾ ਲਈample: LPM_HINT = "CHAIN_SIZE = 8, ONE_INPUT_IS_CONSTANT = ਹਾਂ"
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ ਅਣਵਰਤਿਆ ਹੈ।
VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਪੈਰਾਮੀਟਰਾਈਜ਼ਡ ਮੋਡੀਊਲ (LPM) ਇਕਾਈ ਨਾਮ ਦੀ ਲਾਇਬ੍ਰੇਰੀ ਦੀ ਪਛਾਣ ਕਰਦਾ ਹੈ files.
ਜਾਰੀ…
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 10
ਫੀਡਬੈਕ ਭੇਜੋ
2. LPM_COUNTER (ਕਾਊਂਟਰ) IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ ਨਾਮ INTENDED_DEVICE_FAMILY CARRY_CNT_EN
LABWIDE_SCLR
LPM_PORT_UPDOWN
ਸਟ੍ਰਿੰਗ ਸਤਰ ਟਾਈਪ ਕਰੋ
ਸਤਰ
ਸਤਰ
ਲੋੜੀਂਦਾ ਨਹੀਂ ਨੰ
ਨੰ
ਨੰ
ਵਰਣਨ
ਇਹ ਪੈਰਾਮੀਟਰ ਮਾਡਲਿੰਗ ਅਤੇ ਵਿਹਾਰਕ ਸਿਮੂਲੇਸ਼ਨ ਉਦੇਸ਼ਾਂ ਲਈ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ। ਇਹ ਪੈਰਾਮੀਟਰ ਮਾਡਲਿੰਗ ਅਤੇ ਵਿਹਾਰਕ ਸਿਮੂਲੇਸ਼ਨ ਉਦੇਸ਼ਾਂ ਲਈ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ। ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਇਸ ਪੈਰਾਮੀਟਰ ਲਈ ਮੁੱਲ ਦੀ ਗਣਨਾ ਕਰਦਾ ਹੈ।
Intel-ਵਿਸ਼ੇਸ਼ ਪੈਰਾਮੀਟਰ। ਤੁਹਾਨੂੰ VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ CARRY_CNT_EN ਪੈਰਾਮੀਟਰ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ LPM_HINT ਪੈਰਾਮੀਟਰ ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ fileਐੱਸ. ਮੁੱਲ ਸਮਾਰਟ, ਚਾਲੂ, ਬੰਦ ਅਤੇ ਅਣਵਰਤੇ ਹਨ। ਕੈਰੀ ਚੇਨ ਰਾਹੀਂ cnt_en ਸਿਗਨਲ ਦਾ ਪ੍ਰਸਾਰ ਕਰਨ ਲਈ LPM_COUNTER ਫੰਕਸ਼ਨ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ। ਕੁਝ ਮਾਮਲਿਆਂ ਵਿੱਚ, CARRY_CNT_EN ਪੈਰਾਮੀਟਰ ਸੈਟਿੰਗ ਦਾ ਗਤੀ 'ਤੇ ਮਾਮੂਲੀ ਅਸਰ ਪੈ ਸਕਦਾ ਹੈ, ਇਸ ਲਈ ਤੁਸੀਂ ਇਸਨੂੰ ਬੰਦ ਕਰਨਾ ਚਾਹ ਸਕਦੇ ਹੋ। ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ SMART ਹੈ, ਜੋ ਆਕਾਰ ਅਤੇ ਗਤੀ ਦੇ ਵਿਚਕਾਰ ਸਭ ਤੋਂ ਵਧੀਆ ਵਪਾਰ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
Intel-ਵਿਸ਼ੇਸ਼ ਪੈਰਾਮੀਟਰ। VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ LABWIDE_SCLR ਪੈਰਾਮੀਟਰ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ LPM_HINT ਪੈਰਾਮੀਟਰ ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ fileਐੱਸ. ਮੁੱਲ ਚਾਲੂ, ਬੰਦ ਜਾਂ ਅਣਵਰਤੇ ਹਨ। ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ ਚਾਲੂ ਹੈ। ਤੁਹਾਨੂੰ ਪੁਰਾਣੀ ਡਿਵਾਈਸ ਪਰਿਵਾਰਾਂ ਵਿੱਚ ਪਾਈ ਗਈ LABwide sclr ਵਿਸ਼ੇਸ਼ਤਾ ਦੀ ਵਰਤੋਂ ਨੂੰ ਅਸਮਰੱਥ ਬਣਾਉਣ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ। ਇਸ ਵਿਕਲਪ ਨੂੰ ਬੰਦ ਕਰਨ ਨਾਲ ਅੰਸ਼ਕ ਤੌਰ 'ਤੇ ਭਰੀਆਂ LABs ਦੀ ਪੂਰੀ ਤਰ੍ਹਾਂ ਵਰਤੋਂ ਕਰਨ ਦੀ ਸੰਭਾਵਨਾ ਵੱਧ ਜਾਂਦੀ ਹੈ, ਅਤੇ ਇਸ ਤਰ੍ਹਾਂ ਉੱਚ ਤਰਕ ਘਣਤਾ ਦੀ ਇਜਾਜ਼ਤ ਦੇ ਸਕਦੀ ਹੈ ਜਦੋਂ SCLR ਇੱਕ ਪੂਰਨ LAB 'ਤੇ ਲਾਗੂ ਨਹੀਂ ਹੁੰਦਾ ਹੈ। ਇਹ ਪੈਰਾਮੀਟਰ ਬੈਕਵਰਡ ਅਨੁਕੂਲਤਾ ਲਈ ਉਪਲਬਧ ਹੈ, ਅਤੇ Intel ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਦੀ ਵਰਤੋਂ ਨਾ ਕਰਨ ਦੀ ਸਿਫਾਰਸ਼ ਕਰਦਾ ਹੈ।
ਅੱਪਡਾਊਨ ਇਨਪੁਟ ਪੋਰਟ ਦੀ ਵਰਤੋਂ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ PORT_CONNECTIVITY ਹੈ। ਜਦੋਂ ਪੋਰਟ ਮੁੱਲ PORT_USED 'ਤੇ ਸੈੱਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੋਰਟ ਨੂੰ ਵਰਤਿਆ ਗਿਆ ਮੰਨਿਆ ਜਾਂਦਾ ਹੈ। ਜਦੋਂ ਪੋਰਟ ਮੁੱਲ PORT_UNUSED 'ਤੇ ਸੈੱਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੋਰਟ ਨੂੰ ਅਣਵਰਤਿਆ ਮੰਨਿਆ ਜਾਂਦਾ ਹੈ। ਜਦੋਂ ਪੋਰਟ ਮੁੱਲ PORT_CONNECTIVITY 'ਤੇ ਸੈੱਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੋਰਟ ਦੀ ਵਰਤੋਂ ਪੋਰਟ ਕਨੈਕਟੀਵਿਟੀ ਦੀ ਜਾਂਚ ਕਰਕੇ ਨਿਰਧਾਰਤ ਕੀਤੀ ਜਾਂਦੀ ਹੈ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 11
683490 | 2020.10.05 ਫੀਡਬੈਕ ਭੇਜੋ
3. LPM_DIVIDE (ਡਿਵਾਈਡਰ) Intel FPGA IP ਕੋਰ
ਚਿੱਤਰ 2.
LPM_DIVIDE Intel FPGA IP ਕੋਰ ਇੱਕ ਭਾਗ ਅਤੇ ਇੱਕ ਬਾਕੀ ਨੂੰ ਪੈਦਾ ਕਰਨ ਲਈ ਇੱਕ ਅੰਕ ਇਨਪੁਟ ਮੁੱਲ ਨੂੰ ਇੱਕ ਡਿਨੋਮੀਨੇਟਰ ਇਨਪੁਟ ਮੁੱਲ ਦੁਆਰਾ ਵੰਡਣ ਲਈ ਇੱਕ ਡਿਵਾਈਡਰ ਲਾਗੂ ਕਰਦਾ ਹੈ।
ਹੇਠਾਂ ਦਿੱਤੀ ਤਸਵੀਰ LPM_DIVIDE IP ਕੋਰ ਲਈ ਪੋਰਟਾਂ ਨੂੰ ਦਰਸਾਉਂਦੀ ਹੈ।
LPM_DIVIDE ਪੋਰਟ
LPM_DIVIDE
ਨੰਬਰ[] ਡੀਨੋਮ[] ਘੜੀ
ਭਾਗ[] ਬਾਕੀ[]
clken aclr
inst
3.1 ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ
LPM_DIVIDE IP ਕੋਰ ਹੇਠ ਲਿਖੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੀ ਪੇਸ਼ਕਸ਼ ਕਰਦਾ ਹੈ: · ਇੱਕ ਡਿਵਾਈਡਰ ਬਣਾਉਂਦਾ ਹੈ ਜੋ ਇੱਕ ਅੰਕ ਇਨਪੁਟ ਮੁੱਲ ਨੂੰ ਇੱਕ ਡਿਨੋਮੀਨੇਟਰ ਇਨਪੁਟ ਦੁਆਰਾ ਵੰਡਦਾ ਹੈ
ਇੱਕ ਭਾਗ ਅਤੇ ਇੱਕ ਬਾਕੀ ਨੂੰ ਪੈਦਾ ਕਰਨ ਲਈ ਮੁੱਲ। · 1 ਬਿੱਟ ਦੇ ਡੇਟਾ ਚੌੜਾਈ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। · ਦੋਨਾਂ ਅੰਕਾਂ ਲਈ ਹਸਤਾਖਰਿਤ ਅਤੇ ਹਸਤਾਖਰਿਤ ਡੇਟਾ ਪ੍ਰਸਤੁਤੀ ਫਾਰਮੈਟ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ
ਅਤੇ ਭਾਅ ਮੁੱਲ। · ਖੇਤਰ ਜਾਂ ਸਪੀਡ ਓਪਟੀਮਾਈਜੇਸ਼ਨ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਇੱਕ ਸਕਾਰਾਤਮਕ ਬਾਕੀ ਆਉਟਪੁੱਟ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਇੱਕ ਵਿਕਲਪ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ। · ਪਾਈਪਲਾਈਨਿੰਗ ਕੌਂਫਿਗਰੇਬਲ ਆਉਟਪੁੱਟ ਲੇਟੈਂਸੀ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। · ਵਿਕਲਪਿਕ ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਅਤੇ ਘੜੀ ਸਮਰੱਥ ਪੋਰਟਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
3.2 Verilog HDL ਪ੍ਰੋਟੋਟਾਈਪ
ਨਿਮਨਲਿਖਤ ਵੇਰੀਲੋਗ ਐਚਡੀਐਲ ਪ੍ਰੋਟੋਟਾਈਪ ਵੇਰੀਲੌਗ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.v) lpm.v ਵਿੱਚ edasynthesis ਡਾਇਰੈਕਟਰੀ.
ਮੋਡੀਊਲ lpm_divide ( quient, remain, numer, denom, clock, clken, aclr); ਪੈਰਾਮੀਟਰ lpm_type = “lpm_divide”; ਪੈਰਾਮੀਟਰ lpm_widthn = 1; ਪੈਰਾਮੀਟਰ lpm_widthd = 1; ਪੈਰਾਮੀਟਰ lpm_nrepresentation = “ਅਨਸਾਈਨਡ”; ਪੈਰਾਮੀਟਰ lpm_drepresentation = “ਅਨਸਾਈਨਡ”; ਪੈਰਾਮੀਟਰ lpm_remainderpositive = “TRUE”; ਪੈਰਾਮੀਟਰ lpm_pipeline = 0;
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਲਈ ਵਾਰੰਟ ਦਿੰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਅਰਜ਼ੀ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ISO 9001:2015 ਰਜਿਸਟਰਡ
3. LPM_DIVIDE (ਡਿਵਾਈਡਰ) Intel FPGA IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ lpm_hint = “ਅਣਵਰਤਿਆ”; ਇਨਪੁਟ ਘੜੀ; ਇੰਪੁੱਟ clken; ਇੰਪੁੱਟ aclr; ਇਨਪੁਟ [lpm_widthn-1:0] ਨੰਬਰ; ਇਨਪੁਟ [lpm_widthd-1:0] ਡੀਨੋਮ; ਆਉਟਪੁੱਟ [lpm_widthn-1:0] ਭਾਗ; ਆਉਟਪੁੱਟ [lpm_widthd-1:0] ਬਾਕੀ ਹੈ; endmodule
3.3 VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ
VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.vhd) ਵਿੱਚ LPM_PACK.vhd librariesvhdllpm ਡਾਇਰੈਕਟਰੀ.
ਕੰਪੋਨੈਂਟ LPM_DIVIDE ਜੈਨਰਿਕ (LPM_WIDTHN : ਕੁਦਰਤੀ; LPM_WIDTHD : ਕੁਦਰਤੀ;
LPM_NREPRESENTATION : ਸਤਰ := “ਅਨਸਾਈਨਡ”; LPM_DREPRESENTATION : ਸਤਰ := “ਅਨਸਾਈਨਡ”; LPM_PIPELINE : ਕੁਦਰਤੀ := 0; LPM_TYPE : ਸਤਰ := L_DIVIDE; LPM_HINT : ਸਤਰ := "ਅਣਵਰਤਿਆ"); ਪੋਰਟ (ਨੰਬਰ: std_logic_vector ਵਿੱਚ(LPM_WIDTHN-1 downto 0); DENOM: in std_logic_vector(LPM_WIDTHD-1 downto 0); ACLR: std_logic ਵਿੱਚ := '0'; CLOCK: in std_logic := '0'; CLKEN : std_logic ਵਿੱਚ := '1'; QUOTIENT : ਬਾਹਰ std_logic_vector(LPM_WIDTHN-1 down to 0); REMAIN : out std_logic_vector(LPM_WIDTHD-1 down to 0)); ਅੰਤ ਭਾਗ;
3.4 VHDL LIBRARY_USE ਘੋਸ਼ਣਾ ਪੱਤਰ
ਜੇਕਰ ਤੁਸੀਂ VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋ ਤਾਂ VHDL ਲਾਇਬ੍ਰੇਰੀ-ਯੂਜ਼ ਘੋਸ਼ਣਾ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
ਲਾਇਬ੍ਰੇਰੀ ਐਲਪੀਐਮ; lpm.lpm_components.all ਦੀ ਵਰਤੋਂ ਕਰੋ;
3.5. ਬੰਦਰਗਾਹਾਂ
ਹੇਠਾਂ ਦਿੱਤੀਆਂ ਟੇਬਲਾਂ ਵਿੱਚ LPM_DIVIDE IP ਕੋਰ ਲਈ ਇਨਪੁਟ ਅਤੇ ਆਉਟਪੁੱਟ ਪੋਰਟਾਂ ਦੀ ਸੂਚੀ ਹੈ।
ਸਾਰਣੀ 5.
LPM_DIVIDE ਇਨਪੁਟ ਪੋਰਟ
ਪੋਰਟ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਸੰਖਿਆ[]
ਹਾਂ
ਡੀਨੋਮ[]
ਹਾਂ
ਵਰਣਨ
ਅੰਕੀ ਡੇਟਾ ਇੰਪੁੱਟ। ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ LPM_WIDTHN ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
ਡੈਨੋਮੀਨੇਟਰ ਡੇਟਾ ਇੰਪੁੱਟ। ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ LPM_WIDTHD ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
ਜਾਰੀ…
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 13
3. LPM_DIVIDE (ਡਿਵਾਈਡਰ) Intel FPGA IP ਕੋਰ 683490 | 2020.10.05
ਪੋਰਟ ਨਾਮ ਘੜੀ clken
aclr
ਲੋੜੀਂਦਾ ਨਹੀਂ ਨੰ
ਨੰ
ਵਰਣਨ
ਪਾਈਪਲਾਈਨ ਵਰਤੋਂ ਲਈ ਘੜੀ ਇਨਪੁੱਟ। 0 (ਪੂਰਵ-ਨਿਰਧਾਰਤ) ਤੋਂ ਇਲਾਵਾ LPM_PIPELINE ਮੁੱਲਾਂ ਲਈ, ਕਲਾਕ ਪੋਰਟ ਨੂੰ ਸਮਰੱਥ ਬਣਾਇਆ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ।
ਘੜੀ ਪਾਈਪਲਾਈਨ ਵਰਤੋਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ। ਜਦੋਂ ਕਲੇਨ ਪੋਰਟ ਨੂੰ ਉੱਚਾ ਮੰਨਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਡਿਵੀਜ਼ਨ ਕਾਰਵਾਈ ਹੁੰਦੀ ਹੈ। ਜਦੋਂ ਸਿਗਨਲ ਘੱਟ ਹੁੰਦਾ ਹੈ, ਕੋਈ ਓਪਰੇਸ਼ਨ ਨਹੀਂ ਹੁੰਦਾ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 1 ਹੁੰਦਾ ਹੈ।
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਪੋਰਟ ਕਿਸੇ ਵੀ ਸਮੇਂ ਪਾਈਪਲਾਈਨ ਨੂੰ ਘੜੀ ਦੇ ਇਨਪੁਟ ਲਈ ਅਸਿੰਕ੍ਰੋਨਸ ਤੌਰ 'ਤੇ ਸਾਰੇ '0' 'ਤੇ ਰੀਸੈਟ ਕਰਨ ਲਈ ਵਰਤੀ ਜਾਂਦੀ ਹੈ।
ਸਾਰਣੀ 6.
LPM_DIVIDE ਆਉਟਪੁੱਟ ਪੋਰਟ
ਪੋਰਟ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਭਾਗ[]
ਹਾਂ
ਡਾਟਾ ਆਉਟਪੁੱਟ. ਆਉਟਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ LPM_WIDTHN 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ
ਪੈਰਾਮੀਟਰ ਮੁੱਲ.
ਰਹਿ[]
ਹਾਂ
ਡਾਟਾ ਆਉਟਪੁੱਟ. ਆਉਟਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ LPM_WIDTHD 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ
ਪੈਰਾਮੀਟਰ ਮੁੱਲ.
3.6. ਪੈਰਾਮੀਟਰ
ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ LPM_DIVIDE Intel FPGA IP ਕੋਰ ਲਈ ਮਾਪਦੰਡਾਂ ਨੂੰ ਸੂਚੀਬੱਧ ਕਰਦੀ ਹੈ।
ਪੈਰਾਮੀਟਰ ਦਾ ਨਾਮ
ਟਾਈਪ ਕਰੋ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
LPM_WIDTHN
ਪੂਰਨ ਅੰਕ
ਹਾਂ
ਸੰਖਿਆ[] ਅਤੇ ਦੀ ਚੌੜਾਈ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ
ਭਾਗ [] ਪੋਰਟ. ਮੁੱਲ 1 ਤੋਂ 64 ਤੱਕ ਹਨ।
LPM_WIDTHD
ਪੂਰਨ ਅੰਕ
ਹਾਂ
ਡੀਨੋਮ ਦੀ ਚੌੜਾਈ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ[] ਅਤੇ
ਬਾਕੀ[] ਪੋਰਟ। ਮੁੱਲ 1 ਤੋਂ 64 ਤੱਕ ਹਨ।
LPM_NREPRESENTATION LPM_DREPRESENTATION
ਸਤਰ ਸਤਰ
ਨੰ
ਅੰਕਾਂ ਦੇ ਇੰਪੁੱਟ ਦੀ ਨੁਮਾਇੰਦਗੀ ਸਾਈਨ ਕਰੋ।
ਮੁੱਲ ਹਸਤਾਖਰਿਤ ਅਤੇ ਹਸਤਾਖਰਿਤ ਹਨ। ਜਦੋਂ ਇਹ
ਪੈਰਾਮੀਟਰ SIGNED, ਡਿਵਾਈਡਰ 'ਤੇ ਸੈੱਟ ਕੀਤਾ ਗਿਆ ਹੈ
ਸੰਖਿਆ[] ਇੰਪੁੱਟ ਨੂੰ ਹਸਤਾਖਰਿਤ ਦੋ ਦੇ ਰੂਪ ਵਿੱਚ ਵਿਆਖਿਆ ਕਰਦਾ ਹੈ
ਪੂਰਕ।
ਨੰ
ਡਿਨੋਮੀਨੇਟਰ ਇੰਪੁੱਟ ਦੀ ਪ੍ਰਤੀਨਿਧਤਾ ਦਾ ਚਿੰਨ੍ਹ।
ਮੁੱਲ ਹਸਤਾਖਰਿਤ ਅਤੇ ਹਸਤਾਖਰਿਤ ਹਨ। ਜਦੋਂ ਇਹ
ਪੈਰਾਮੀਟਰ SIGNED, ਡਿਵਾਈਡਰ 'ਤੇ ਸੈੱਟ ਕੀਤਾ ਗਿਆ ਹੈ
denom[] ਇੰਪੁੱਟ ਨੂੰ ਹਸਤਾਖਰਿਤ ਦੋ ਦੇ ਰੂਪ ਵਿੱਚ ਵਿਆਖਿਆ ਕਰਦਾ ਹੈ
ਪੂਰਕ।
LPM_TYPE
ਸਤਰ
ਨੰ
ਪੈਰਾਮੀਟਰਾਈਜ਼ਡ ਦੀ ਲਾਇਬ੍ਰੇਰੀ ਦੀ ਪਛਾਣ ਕਰਦਾ ਹੈ
VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਮੋਡੀਊਲ (LPM) ਇਕਾਈ ਦਾ ਨਾਮ
files (.vhd)।
LPM_HINT
ਸਤਰ
ਨੰ
ਜਦੋਂ ਤੁਸੀਂ ਇੱਕ ਲਾਇਬ੍ਰੇਰੀ ਦੀ ਸ਼ੁਰੂਆਤ ਕਰਦੇ ਹੋ
ਪੈਰਾਮੀਟਰਾਈਜ਼ਡ ਮੋਡੀਊਲ (LPM) ਫੰਕਸ਼ਨ ਏ
VHDL ਡਿਜ਼ਾਈਨ File (.vhd), ਤੁਹਾਨੂੰ ਵਰਤਣਾ ਚਾਹੀਦਾ ਹੈ
LPM_HINT ਪੈਰਾਮੀਟਰ ਇੱਕ Intel- ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ
ਖਾਸ ਪੈਰਾਮੀਟਰ. ਸਾਬਕਾ ਲਈample: LPM_HINT
= "ਚੈਨ_ਸਾਈਜ਼ = 8,
ONE_INPUT_IS_CONSTANT = ਹਾਂ" The
ਮੂਲ ਮੁੱਲ ਅਣਵਰਤਿਆ ਹੈ।
LPM_REMAINDERPOSITIVE
ਸਤਰ
ਨੰ
Intel-ਵਿਸ਼ੇਸ਼ ਪੈਰਾਮੀਟਰ। ਤੁਹਾਨੂੰ ਵਰਤਣਾ ਚਾਹੀਦਾ ਹੈ
ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ LPM_HINT ਪੈਰਾਮੀਟਰ
LPM_REMAINDERPOSITIVE ਪੈਰਾਮੀਟਰ ਵਿੱਚ
VHDL ਡਿਜ਼ਾਈਨ fileਐੱਸ. ਮੁੱਲ ਸਹੀ ਜਾਂ ਗਲਤ ਹਨ।
ਜੇਕਰ ਇਹ ਪੈਰਾਮੀਟਰ TRUE 'ਤੇ ਸੈੱਟ ਕੀਤਾ ਗਿਆ ਹੈ, ਤਾਂ
ਬਾਕੀ[] ਪੋਰਟ ਦਾ ਮੁੱਲ ਵੱਧ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ
ਜਾਰੀ…
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 14
ਫੀਡਬੈਕ ਭੇਜੋ
3. LPM_DIVIDE (ਡਿਵਾਈਡਰ) Intel FPGA IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ ਦਾ ਨਾਮ
ਟਾਈਪ ਕਰੋ
MAXIMIZE_SPEED
ਪੂਰਨ ਅੰਕ
LPM_PIPELINE
ਪੂਰਨ ਅੰਕ
INTENDED_DEVICE_FAMILY SKIP_BITS
ਸਤਰ ਪੂਰਨ ਅੰਕ
ਲੋੜੀਂਦਾ ਨੰ
ਨਹੀਂ ਨਹੀਂ ਨਹੀਂ
ਵਰਣਨ
ਜ਼ੀਰੋ ਤੋਂ ਜਾਂ ਬਰਾਬਰ। ਜੇਕਰ ਇਹ ਪੈਰਾਮੀਟਰ TRUE 'ਤੇ ਸੈੱਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਬਾਕੀ[] ਪੋਰਟ ਦਾ ਮੁੱਲ ਜਾਂ ਤਾਂ ਜ਼ੀਰੋ ਹੁੰਦਾ ਹੈ, ਜਾਂ ਮੁੱਲ ਉਹੀ ਚਿੰਨ੍ਹ ਹੁੰਦਾ ਹੈ, ਜਾਂ ਤਾਂ ਸਕਾਰਾਤਮਕ ਜਾਂ ਨੈਗੇਟਿਵ, ਨੰਬਰ ਪੋਰਟ ਦੇ ਮੁੱਲ ਦੇ ਰੂਪ ਵਿੱਚ। ਖੇਤਰ ਨੂੰ ਘਟਾਉਣ ਅਤੇ ਗਤੀ ਨੂੰ ਬਿਹਤਰ ਬਣਾਉਣ ਲਈ, Intel ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਓਪਰੇਸ਼ਨਾਂ ਵਿੱਚ TRUE 'ਤੇ ਸੈੱਟ ਕਰਨ ਦੀ ਸਿਫ਼ਾਰਿਸ਼ ਕਰਦਾ ਹੈ ਜਿੱਥੇ ਬਾਕੀ ਦਾ ਸਕਾਰਾਤਮਕ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ ਜਾਂ ਜਿੱਥੇ ਬਾਕੀ ਮਹੱਤਵਪੂਰਨ ਨਹੀਂ ਹੈ।
Intel-ਵਿਸ਼ੇਸ਼ ਪੈਰਾਮੀਟਰ। VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ MAXIMIZE_SPEED ਪੈਰਾਮੀਟਰ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ LPM_HINT ਪੈਰਾਮੀਟਰ ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ fileਐੱਸ. ਮੁੱਲ [0..9] ਹਨ। ਜੇਕਰ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਰੂਟੇਬਿਲਟੀ ਦੀ ਬਜਾਏ ਸਪੀਡ ਲਈ LPM_DIVIDE ਫੰਕਸ਼ਨ ਦੀ ਇੱਕ ਖਾਸ ਉਦਾਹਰਣ ਨੂੰ ਅਨੁਕੂਲ ਬਣਾਉਣ ਦੀ ਕੋਸ਼ਿਸ਼ ਕਰਦਾ ਹੈ, ਅਤੇ ਓਪਟੀਮਾਈਜੇਸ਼ਨ ਟੈਕਨੀਕ ਤਰਕ ਵਿਕਲਪ ਦੀ ਸੈਟਿੰਗ ਨੂੰ ਓਵਰਰਾਈਡ ਕਰਦਾ ਹੈ। ਜੇਕਰ MAXIMIZE_SPEED ਦੀ ਵਰਤੋਂ ਨਹੀਂ ਕੀਤੀ ਜਾਂਦੀ ਹੈ, ਤਾਂ ਇਸਦੀ ਬਜਾਏ ਓਪਟੀਮਾਈਜੇਸ਼ਨ ਤਕਨੀਕ ਵਿਕਲਪ ਦਾ ਮੁੱਲ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ। ਜੇਕਰ MAXIMIZE_SPEED ਦਾ ਮੁੱਲ 6 ਜਾਂ ਵੱਧ ਹੈ, ਤਾਂ ਕੰਪਾਈਲਰ ਕੈਰੀ ਚੇਨਾਂ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਉੱਚ ਗਤੀ ਲਈ LPM_DIVIDE IP ਕੋਰ ਨੂੰ ਅਨੁਕੂਲ ਬਣਾਉਂਦਾ ਹੈ; ਜੇਕਰ ਮੁੱਲ 5 ਜਾਂ ਘੱਟ ਹੈ, ਤਾਂ ਕੰਪਾਈਲਰ ਕੈਰੀ ਚੇਨਾਂ ਦੇ ਬਿਨਾਂ ਡਿਜ਼ਾਈਨ ਨੂੰ ਲਾਗੂ ਕਰਦਾ ਹੈ।
ਭਾਗ[] ਅਤੇ ਰਹਿੰਦੇ[] ਆਉਟਪੁੱਟ ਨਾਲ ਸੰਬੰਧਿਤ ਲੇਟੈਂਸੀ ਦੇ ਘੜੀ ਚੱਕਰਾਂ ਦੀ ਸੰਖਿਆ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਜ਼ੀਰੋ (0) ਦਾ ਮੁੱਲ ਇਹ ਦਰਸਾਉਂਦਾ ਹੈ ਕਿ ਕੋਈ ਲੇਟੈਂਸੀ ਮੌਜੂਦ ਨਹੀਂ ਹੈ, ਅਤੇ ਇਹ ਕਿ ਇੱਕ ਸ਼ੁੱਧ ਸੰਯੋਜਨ ਫੰਕਸ਼ਨ ਤਤਕਾਲ ਹੈ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 0 (ਗੈਰ ਪਾਈਪਲਾਈਨ) ਹੁੰਦਾ ਹੈ। ਤੁਸੀਂ LPM_PIPELINE ਪੈਰਾਮੀਟਰ ਲਈ ਕੋਈ ਮੁੱਲ ਨਿਰਧਾਰਤ ਨਹੀਂ ਕਰ ਸਕਦੇ ਹੋ ਜੋ LPM_WIDTHN ਤੋਂ ਵੱਧ ਹੈ।
ਇਹ ਪੈਰਾਮੀਟਰ ਮਾਡਲਿੰਗ ਅਤੇ ਵਿਹਾਰਕ ਸਿਮੂਲੇਸ਼ਨ ਉਦੇਸ਼ਾਂ ਲਈ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ। ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਇਸ ਪੈਰਾਮੀਟਰ ਲਈ ਮੁੱਲ ਦੀ ਗਣਨਾ ਕਰਦਾ ਹੈ।
LPM_DIVIDE IP ਕੋਰ ਨੂੰ ਮੋਹਰੀ GND ਦੀ ਸੰਖਿਆ ਪ੍ਰਦਾਨ ਕਰਕੇ ਮੋਹਰੀ ਬਿੱਟਾਂ 'ਤੇ ਤਰਕ ਨੂੰ ਅਨੁਕੂਲ ਬਣਾਉਣ ਲਈ ਵਧੇਰੇ ਕੁਸ਼ਲ ਫ੍ਰੈਕਸ਼ਨਲ ਬਿੱਟ ਡਿਵੀਜ਼ਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ। ਇਸ ਪੈਰਾਮੀਟਰ ਦੇ ਭਾਗਾਂਕ ਆਉਟਪੁੱਟ 'ਤੇ ਮੋਹਰੀ GND ਦੀ ਸੰਖਿਆ ਨਿਰਧਾਰਤ ਕਰੋ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 15
683490 | 2020.10.05 ਫੀਡਬੈਕ ਭੇਜੋ
4. LPM_MULT (ਮਲਟੀਪਲੇਅਰ) IP ਕੋਰ
ਚਿੱਤਰ 3.
LPM_MULT IP ਕੋਰ ਇੱਕ ਉਤਪਾਦ ਨੂੰ ਇੱਕ ਆਉਟਪੁੱਟ ਦੇ ਰੂਪ ਵਿੱਚ ਪੈਦਾ ਕਰਨ ਲਈ ਦੋ ਇਨਪੁਟ ਡੇਟਾ ਮੁੱਲਾਂ ਨੂੰ ਗੁਣਾ ਕਰਨ ਲਈ ਇੱਕ ਗੁਣਕ ਨੂੰ ਲਾਗੂ ਕਰਦਾ ਹੈ।
ਹੇਠਾਂ ਦਿੱਤਾ ਚਿੱਤਰ LPM_MULT IP ਕੋਰ ਲਈ ਪੋਰਟਾਂ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।
LPM_Mult ਪੋਰਟ
LPM_MULT ਘੜੀ ਡਾਟਾ[] ਨਤੀਜਾ[] ਡਾਟਾਬ[] aclr/sclr clken
inst
ਪੰਨਾ 71 'ਤੇ ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ
4.1 ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ
LPM_MULT IP ਕੋਰ ਹੇਠ ਲਿਖੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੀ ਪੇਸ਼ਕਸ਼ ਕਰਦਾ ਹੈ: · ਇੱਕ ਗੁਣਕ ਤਿਆਰ ਕਰਦਾ ਹੈ ਜੋ ਦੋ ਇਨਪੁਟ ਡੇਟਾ ਮੁੱਲਾਂ ਨੂੰ ਗੁਣਾ ਕਰਦਾ ਹੈ · 1 ਬਿੱਟਾਂ ਦੀ ਡੇਟਾ ਚੌੜਾਈ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਹਸਤਾਖਰਿਤ ਅਤੇ ਹਸਤਾਖਰਿਤ ਡੇਟਾ ਪ੍ਰਸਤੁਤੀ ਫਾਰਮੈਟ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਖੇਤਰ ਜਾਂ ਸਪੀਡ ਅਨੁਕੂਲਨ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਸੰਰਚਨਾ ਯੋਗ ਆਉਟਪੁੱਟ ਦੇ ਨਾਲ ਪਾਈਪਲਾਈਨਿੰਗ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਸਮਰਪਿਤ ਡਿਜੀਟਲ ਸਿਗਨਲ ਪ੍ਰੋਸੈਸਿੰਗ (DSP) ਵਿੱਚ ਲਾਗੂ ਕਰਨ ਲਈ ਵਿਕਲਪ
ਬਲਾਕ ਸਰਕਟਰੀ ਜਾਂ ਤਰਕ ਤੱਤ (LEs) ਨੋਟ: ਜਦੋਂ ਮੂਲ ਸਮਰਥਿਤ ਆਕਾਰ ਤੋਂ ਵੱਡੇ ਗੁਣਕ ਬਣਾਉਂਦੇ ਹਨ ਤਾਂ ਹੋ ਸਕਦਾ ਹੈ/
ਡੀਐਸਪੀ ਬਲਾਕਾਂ ਦੇ ਕੈਸਕੇਡਿੰਗ ਦੇ ਨਤੀਜੇ ਵਜੋਂ ਇੱਕ ਪ੍ਰਦਰਸ਼ਨ ਪ੍ਰਭਾਵ ਹੋਵੇਗਾ। · ਵਿਕਲਪਿਕ ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ ਅਤੇ ਘੜੀ ਇਨਪੁਟ ਪੋਰਟਾਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ · Intel Stratix 10, Intel Arria 10 ਅਤੇ Intel Cyclone 10 GX ਡਿਵਾਈਸਾਂ ਲਈ ਵਿਕਲਪਿਕ ਸਮਕਾਲੀ ਕਲੀਅਰ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਲਈ ਵਾਰੰਟ ਦਿੰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਅਰਜ਼ੀ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ISO 9001:2015 ਰਜਿਸਟਰਡ
4. LPM_MULT (ਮਲਟੀਪਲੇਅਰ) IP ਕੋਰ 683490 | 2020.10.05
4.2 Verilog HDL ਪ੍ਰੋਟੋਟਾਈਪ
ਨਿਮਨਲਿਖਤ ਵੇਰੀਲੋਗ ਐਚਡੀਐਲ ਪ੍ਰੋਟੋਟਾਈਪ ਵੇਰੀਲੌਗ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.v) lpm.v ਵਿੱਚ edasynthesis ਡਾਇਰੈਕਟਰੀ.
ਮੋਡੀਊਲ lpm_mult ( ਨਤੀਜਾ, ਡੇਟਾ, ਡੇਟਾਬ, ਜੋੜ, ਘੜੀ, clken, aclr ) ਪੈਰਾਮੀਟਰ lpm_type = "lpm_mult"; ਪੈਰਾਮੀਟਰ lpm_widtha = 1; ਪੈਰਾਮੀਟਰ lpm_widthb = 1; ਪੈਰਾਮੀਟਰ lpm_widths = 1; ਪੈਰਾਮੀਟਰ lpm_widthp = 1; ਪੈਰਾਮੀਟਰ lpm_representation = “ਅਨਸਾਈਨਡ”; ਪੈਰਾਮੀਟਰ lpm_pipeline = 0; ਪੈਰਾਮੀਟਰ lpm_hint = “ਅਣਵਰਤਿਆ”; ਇਨਪੁਟ ਘੜੀ; ਇੰਪੁੱਟ clken; ਇੰਪੁੱਟ aclr; ਇਨਪੁਟ [lpm_widtha-1:0] ਡਾਟਾ; ਇਨਪੁਟ [lpm_widthb-1:0] ਡਾਟਾਬ; ਇਨਪੁਟ [lpm_widths-1:0] ਜੋੜ; ਆਉਟਪੁੱਟ [lpm_widthp-1:0] ਨਤੀਜਾ; endmodule
4.3 VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ
VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.vhd) ਵਿੱਚ LPM_PACK.vhd librariesvhdllpm ਡਾਇਰੈਕਟਰੀ.
ਕੰਪੋਨੈਂਟ LPM_MULT ਜੈਨਰਿਕ ( LPM_WIDTHA : ਕੁਦਰਤੀ; LPM_WIDTHB : ਕੁਦਰਤੀ; LPM_WIDTHS : ਕੁਦਰਤੀ := 1; LPM_WIDTHP : ਕੁਦਰਤੀ;
LPM_REPRESENTATION : ਸਤਰ := “ਅਨਸਾਈਨਡ”; LPM_PIPELINE : ਕੁਦਰਤੀ := 0; LPM_TYPE: ਸਤਰ := L_MULT; LPM_HINT : ਸਤਰ := "ਅਣਵਰਤਿਆ"); ਪੋਰਟ ( ਡਾਟਾ : std_logic_vector ਵਿੱਚ (LPM_WIDTHA-1 downto 0); ਡਾਟਾ : std_logic_vector ਵਿੱਚ(LPM_WIDTHB-1 downto 0); ACLR : std_logic ਵਿੱਚ := '0'; CLOCK : std_logic ਵਿੱਚ := '0'; CLKEN : std_logic ਵਿੱਚ := '1'; SUM : std_logic_vector ਵਿੱਚ(LPM_WIDTHS-1 down to 0) := (OTHERS => '0'); ਨਤੀਜਾ: ਬਾਹਰ std_logic_vector(LPM_WIDTHP-1 0 ਤੋਂ ਹੇਠਾਂ)); ਅੰਤ ਭਾਗ;
4.4 VHDL LIBRARY_USE ਘੋਸ਼ਣਾ ਪੱਤਰ
ਜੇਕਰ ਤੁਸੀਂ VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋ ਤਾਂ VHDL ਲਾਇਬ੍ਰੇਰੀ-ਯੂਜ਼ ਘੋਸ਼ਣਾ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
ਲਾਇਬ੍ਰੇਰੀ ਐਲਪੀਐਮ; lpm.lpm_components.all ਦੀ ਵਰਤੋਂ ਕਰੋ;
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 17
4. LPM_MULT (ਮਲਟੀਪਲੇਅਰ) IP ਕੋਰ 683490 | 2020.10.05
4.5 ਸਿਗਨਲ
ਸਾਰਣੀ 7.
LPM_MULT ਇਨਪੁਟ ਸਿਗਨਲ
ਸਿਗਨਲ ਦਾ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਡਾਟਾ[]
ਹਾਂ
ਡਾਟਾ ਇੰਪੁੱਟ।
Intel Stratix 10, Intel Arria 10, ਅਤੇ Intel Cyclone 10 GX ਡਿਵਾਈਸਾਂ ਲਈ, ਇਨਪੁਟ ਸਿਗਨਲ ਦਾ ਆਕਾਰ ਡਾਟਾ ਚੌੜਾਈ ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
ਪੁਰਾਣੇ ਅਤੇ Intel Cyclone 10 LP ਡਿਵਾਈਸਾਂ ਲਈ, ਇਨਪੁਟ ਸਿਗਨਲ ਦਾ ਆਕਾਰ LPM_WIDTHA ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
ਡਾਟਾਬ[]
ਹਾਂ
ਡਾਟਾ ਇੰਪੁੱਟ।
Intel Stratix 10, Intel Arria 10, ਅਤੇ Intel Cyclone 10 GX ਡਿਵਾਈਸਾਂ ਲਈ, ਇਨਪੁਟ ਸਿਗਨਲ ਦਾ ਆਕਾਰ ਡਾਟਾ ਚੌੜਾਈ ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
ਪੁਰਾਣੇ ਅਤੇ Intel Cyclone 10 LP ਡਿਵਾਈਸਾਂ ਲਈ, ਇਨਪੁਟ ਸਿਗਨਲ ਦਾ ਆਕਾਰ ਨਿਰਭਰ ਕਰਦਾ ਹੈ
LPM_WIDTHB ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ।
ਘੜੀ
ਨੰ
ਪਾਈਪਲਾਈਨ ਵਰਤੋਂ ਲਈ ਘੜੀ ਇਨਪੁੱਟ।
ਪੁਰਾਣੀਆਂ ਅਤੇ Intel Cyclone 10 LP ਡਿਵਾਈਸਾਂ ਲਈ, 0 (ਡਿਫੌਲਟ) ਤੋਂ ਇਲਾਵਾ LPM_PIPELINE ਮੁੱਲਾਂ ਲਈ ਘੜੀ ਸਿਗਨਲ ਨੂੰ ਸਮਰੱਥ ਬਣਾਇਆ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ।
Intel Stratix 10, Intel Arria 10, ਅਤੇ Intel Cyclone 10 GX ਡਿਵਾਈਸਾਂ ਲਈ, ਜੇਕਰ ਲੇਟੈਂਸੀ ਮੁੱਲ 1 (ਡਿਫੌਲਟ) ਤੋਂ ਇਲਾਵਾ ਹੈ ਤਾਂ ਘੜੀ ਸਿਗਨਲ ਨੂੰ ਸਮਰੱਥ ਬਣਾਇਆ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ।
clken
ਨੰ
ਪਾਈਪਲਾਈਨ ਵਰਤੋਂ ਲਈ ਘੜੀ ਯੋਗ। ਜਦੋਂ ਕਲੇਨ ਸਿਗਨਲ ਨੂੰ ਉੱਚਾ ਮੰਨਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ
ਜੋੜਨ ਵਾਲੇ/ਘਟਾਉਣ ਵਾਲੇ ਦੀ ਕਾਰਵਾਈ ਹੁੰਦੀ ਹੈ। ਜਦੋਂ ਸਿਗਨਲ ਘੱਟ ਹੁੰਦਾ ਹੈ, ਕੋਈ ਕਾਰਵਾਈ ਨਹੀਂ ਹੁੰਦੀ
ਵਾਪਰਦਾ ਹੈ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 1 ਹੁੰਦਾ ਹੈ।
aclr sclr
ਨੰ
ਪਾਈਪਲਾਈਨ ਨੂੰ ਸਾਰੇ 0s 'ਤੇ ਰੀਸੈਟ ਕਰਨ ਲਈ ਕਿਸੇ ਵੀ ਸਮੇਂ ਵਰਤਿਆ ਗਿਆ ਅਸਿੰਕ੍ਰੋਨਸ ਸਪੱਸ਼ਟ ਸਿਗਨਲ,
ਘੜੀ ਸਿਗਨਲ ਲਈ ਅਸਿੰਕਰੋਨਸ। ਪਾਈਪਲਾਈਨ ਇੱਕ ਪਰਿਭਾਸ਼ਿਤ (X) ਤੋਂ ਸ਼ੁਰੂ ਹੁੰਦੀ ਹੈ
ਤਰਕ ਪੱਧਰ. ਆਉਟਪੁੱਟ ਇਕਸਾਰ, ਪਰ ਗੈਰ-ਜ਼ੀਰੋ ਮੁੱਲ ਹਨ।
ਨੰ
ਪਾਈਪਲਾਈਨ ਨੂੰ ਸਾਰੇ 0s 'ਤੇ ਰੀਸੈਟ ਕਰਨ ਲਈ ਕਿਸੇ ਵੀ ਸਮੇਂ ਵਰਤਿਆ ਗਿਆ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਿਗਨਲ,
ਘੜੀ ਦੇ ਸਿਗਨਲ ਨਾਲ ਸਮਕਾਲੀ। ਪਾਈਪਲਾਈਨ ਇੱਕ ਪਰਿਭਾਸ਼ਿਤ (X) ਤੋਂ ਸ਼ੁਰੂ ਹੁੰਦੀ ਹੈ
ਤਰਕ ਪੱਧਰ. ਆਉਟਪੁੱਟ ਇਕਸਾਰ, ਪਰ ਗੈਰ-ਜ਼ੀਰੋ ਮੁੱਲ ਹਨ।
ਸਾਰਣੀ 8.
LPM_MULT ਆਉਟਪੁੱਟ ਸਿਗਨਲ
ਸਿਗਨਲ ਦਾ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਨਤੀਜਾ[]
ਹਾਂ
ਡਾਟਾ ਆਉਟਪੁੱਟ.
ਪੁਰਾਣੇ ਅਤੇ Intel Cyclone 10 LP ਡਿਵਾਈਸਾਂ ਲਈ, ਆਉਟਪੁੱਟ ਸਿਗਨਲ ਦਾ ਆਕਾਰ LPM_WIDTHP ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ। ਜੇਕਰ LPM_WIDTHP < ਅਧਿਕਤਮ (LPM_WIDTHA + LPM_WIDTHB, LPM_WIDTHS) ਜਾਂ (LPM_WIDTHA + LPM_WIDTHS), ਸਿਰਫ਼ LPM_WIDTHP MSB ਮੌਜੂਦ ਹਨ।
Intel Stratix 10, Intel Arria 10 ਅਤੇ Intel Cyclone 10 GX ਲਈ, ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ ਦਾ ਆਕਾਰ ਨਤੀਜਾ ਚੌੜਾਈ ਪੈਰਾਮੀਟਰ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
4.6 Stratix V, Arria V, Cyclone V, ਅਤੇ Intel Cyclone 10 LP ਡਿਵਾਈਸਾਂ ਲਈ ਮਾਪਦੰਡ
4.6.1. ਜਨਰਲ ਟੈਬ
ਸਾਰਣੀ 9.
ਜਨਰਲ ਟੈਬ
ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਗੁਣਕ ਸੰਰਚਨਾ
'datab' ਇਨਪੁਟ ਨਾਲ 'dataa' ਇਨਪੁਟ ਨੂੰ ਗੁਣਾ ਕਰੋ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਵਰਣਨ
'datab' ਇਨਪੁਟ ਨਾਲ 'dataa' ਇਨਪੁਟ ਨੂੰ ਗੁਣਾ ਕਰੋ
ਗੁਣਕ ਲਈ ਲੋੜੀਂਦੀ ਸੰਰਚਨਾ ਚੁਣੋ।
ਜਾਰੀ…
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 18
ਫੀਡਬੈਕ ਭੇਜੋ
4. LPM_MULT (ਮਲਟੀਪਲੇਅਰ) IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ
'ਡੇਟਾ' ਇੰਪੁੱਟ ਕਿੰਨੀ ਚੌੜੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ? 'ਡੇਟਾਬ' ਇੰਪੁੱਟ ਕਿੰਨੀ ਚੌੜੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ? 'ਨਤੀਜਾ' ਆਉਟਪੁੱਟ ਦੀ ਚੌੜਾਈ ਕਿਵੇਂ ਨਿਰਧਾਰਤ ਕੀਤੀ ਜਾਣੀ ਚਾਹੀਦੀ ਹੈ? ਚੌੜਾਈ ਨੂੰ ਸੀਮਤ ਕਰੋ
ਮੁੱਲ
'ਡੇਟਾ' ਇਨਪੁਟ ਨੂੰ ਆਪਣੇ ਆਪ ਨਾਲ ਗੁਣਾ ਕਰੋ (ਸਕੁਆਇਰਿੰਗ ਓਪਰੇਸ਼ਨ)
1 - 256 ਬਿੱਟ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਵਰਣਨ
8 ਬਿੱਟ
ਡੇਟਾ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰੋ।
1 - 256 ਬਿੱਟ
8 ਬਿੱਟ
ਡਾਟਾਬ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਦੱਸੋ।
ਆਪਣੇ ਆਪ ਚੌੜਾਈ ਦੀ ਗਣਨਾ ਕਰੋ ਚੌੜਾਈ ਨੂੰ ਸੀਮਤ ਕਰੋ
1 - 512 ਬਿੱਟ
ਆਟੋਮੈਟਿਕਲੀ y ਚੌੜਾਈ ਦੀ ਗਣਨਾ ਕਰੋ
ਨਤੀਜੇ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਲੋੜੀਦਾ ਢੰਗ ਚੁਣੋ।
16 ਬਿੱਟ
ਨਤੀਜੇ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਦੱਸੋ।
ਇਹ ਮੁੱਲ ਤਾਂ ਹੀ ਪ੍ਰਭਾਵੀ ਹੋਵੇਗਾ ਜੇਕਰ ਤੁਸੀਂ ਟਾਈਪ ਪੈਰਾਮੀਟਰ ਵਿੱਚ ਚੌੜਾਈ ਨੂੰ ਸੀਮਤ ਕਰੋ ਦੀ ਚੋਣ ਕਰਦੇ ਹੋ।
4.6.2. ਜਨਰਲ 2 ਟੈਬ
ਸਾਰਣੀ 10. ਜਨਰਲ 2 ਟੈਬ
ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਡਾਟਾ ਇਨਪੁਟ
ਕੀ 'ਡਾਟੈਬ' ਇਨਪੁਟ ਬੱਸ ਦਾ ਕੋਈ ਸਥਿਰ ਮੁੱਲ ਹੈ?
ਨਹੀਂ ਹਾਂ
ਗੁਣਾ ਦੀ ਕਿਸਮ
ਕਿਸ ਕਿਸਮ ਦਾ
ਦਸਤਖਤ ਨਹੀਂ ਕੀਤੇ
ਕੀ ਤੁਸੀਂ ਗੁਣਾ ਚਾਹੁੰਦੇ ਹੋ? ਦਸਤਖਤ ਕੀਤੇ
ਲਾਗੂ ਕਰਨਾ
ਕਿਹੜਾ ਗੁਣਕ ਲਾਗੂ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ?
ਡਿਫੌਲਟ ਲਾਗੂਕਰਨ ਦੀ ਵਰਤੋਂ ਕਰੋ
ਸਮਰਪਿਤ ਮਲਟੀਪਲੇਅਰ ਸਰਕਟਰੀ ਦੀ ਵਰਤੋਂ ਕਰੋ (ਸਾਰੇ ਪਰਿਵਾਰਾਂ ਲਈ ਉਪਲਬਧ ਨਹੀਂ)
ਤਰਕ ਤੱਤਾਂ ਦੀ ਵਰਤੋਂ ਕਰੋ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਵਰਣਨ
ਨੰ
ਦੇ ਸਥਿਰ ਮੁੱਲ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ ਹਾਂ ਚੁਣੋ
'datab' ਇਨਪੁਟ ਬੱਸ, ਜੇਕਰ ਕੋਈ ਹੋਵੇ।
ਦਸਤਖਤ ਨਹੀਂ ਕੀਤੇ
ਡੈਟਾ[] ਅਤੇ ਡਾਟਾਬ[] ਇਨਪੁਟਸ ਦੋਵਾਂ ਲਈ ਪ੍ਰਤੀਨਿਧਤਾ ਫਾਰਮੈਟ ਦਿਓ।
ਡਿਫੌਲਟ ਲਾਗੂ ਕਰਨ ਵਾਲੇ ਆਇਨ ਦੀ ਵਰਤੋਂ ਕਰੋ
ਨਤੀਜੇ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਲੋੜੀਦਾ ਢੰਗ ਚੁਣੋ।
4.6.3. ਪਾਈਪਲਾਈਨਿੰਗ ਟੈਬ
ਸਾਰਣੀ 11. ਪਾਈਪਲਾਈਨਿੰਗ ਟੈਬ
ਪੈਰਾਮੀਟਰ
ਕੀ ਤੁਸੀਂ ਨੰ. ਪਾਈਪਲਾਈਨ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ?
ਕਾਰਜ?
ਹਾਂ
ਮੁੱਲ
ਇੱਕ 'aclr' ਬਣਾਓ
—
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਪੋਰਟ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਵਰਣਨ
ਨੰ
'ਤੇ ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਹਾਂ ਚੁਣੋ
ਗੁਣਕ ਦਾ ਆਉਟਪੁੱਟ ਅਤੇ ਲੋੜੀਦਾ ਨਿਸ਼ਚਿਤ ਕਰੋ
ਘੜੀ ਦੇ ਚੱਕਰ ਵਿੱਚ ਆਉਟਪੁੱਟ ਲੇਟੈਂਸੀ। ਨੂੰ ਸਮਰੱਥ ਕਰਨਾ
ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਵਿੱਚ ਵਾਧੂ ਲੇਟੈਂਸੀ ਜੋੜਦਾ ਹੈ
ਆਉਟਪੁੱਟ।
ਅਨਚੈਕ ਕੀਤਾ ਗਿਆ
ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਲਈ ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਨ ਲਈ aclr ਪੋਰਟ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਚੁਣੋ।
ਜਾਰੀ…
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 19
4. LPM_MULT (ਮਲਟੀਪਲੇਅਰ) IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ
ਇੱਕ 'clken' ਘੜੀ ਸਮਰੱਥ ਘੜੀ ਬਣਾਓ
ਓਪਟੀਮਾਈਜੇਸ਼ਨ
ਤੁਸੀਂ ਕਿਸ ਕਿਸਮ ਦਾ ਅਨੁਕੂਲਨ ਚਾਹੁੰਦੇ ਹੋ?
ਮੁੱਲ -
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਸਪੀਡ ਖੇਤਰ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਵਰਣਨ
ਅਨਚੈਕ ਕੀਤਾ ਗਿਆ
ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਦੇ ਘੜੀ ਪੋਰਟ ਲਈ ਕਿਰਿਆਸ਼ੀਲ ਉੱਚ ਘੜੀ ਸਮਰੱਥ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ
ਡਿਫਾਲਟ
IP ਕੋਰ ਲਈ ਲੋੜੀਂਦਾ ਓਪਟੀਮਾਈਜੇਸ਼ਨ ਨਿਰਧਾਰਤ ਕਰੋ।
Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਨੂੰ IP ਕੋਰ ਲਈ ਸਭ ਤੋਂ ਵਧੀਆ ਅਨੁਕੂਲਤਾ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਡਿਫੌਲਟ ਚੁਣੋ।
4.7 Intel Stratix 10, Intel Arria 10, ਅਤੇ Intel Cyclone 10 GX ਡਿਵਾਈਸਾਂ ਲਈ ਮਾਪਦੰਡ
4.7.1. ਜਨਰਲ ਟੈਬ
ਸਾਰਣੀ 12. ਆਮ ਟੈਬ
ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਵਰਣਨ
ਗੁਣਕ ਸੰਰਚਨਾ ਦੀ ਕਿਸਮ
ਡਾਟਾ ਪੋਰਟ ਚੌੜਾਈ
'datab' ਇਨਪੁਟ ਨਾਲ 'dataa' ਇਨਪੁਟ ਨੂੰ ਗੁਣਾ ਕਰੋ
'ਡੇਟਾ' ਇਨਪੁਟ ਨੂੰ ਆਪਣੇ ਆਪ ਨਾਲ ਗੁਣਾ ਕਰੋ (ਸਕੁਆਇਰਿੰਗ ਓਪਰੇਸ਼ਨ)
'datab' ਇਨਪੁਟ ਨਾਲ 'dataa' ਇਨਪੁਟ ਨੂੰ ਗੁਣਾ ਕਰੋ
ਗੁਣਕ ਲਈ ਲੋੜੀਂਦੀ ਸੰਰਚਨਾ ਚੁਣੋ।
ਡਾਟਾ ਚੌੜਾਈ
1 - 256 ਬਿੱਟ
8 ਬਿੱਟ
ਡੇਟਾ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰੋ।
ਡਾਟਾ ਚੌੜਾਈ
1 - 256 ਬਿੱਟ
8 ਬਿੱਟ
ਡਾਟਾਬ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਦੱਸੋ।
'ਨਤੀਜਾ' ਆਉਟਪੁੱਟ ਦੀ ਚੌੜਾਈ ਕਿਵੇਂ ਨਿਰਧਾਰਤ ਕੀਤੀ ਜਾਣੀ ਚਾਹੀਦੀ ਹੈ?
ਟਾਈਪ ਕਰੋ
ਆਟੋਮੈਟਿਕਲੀ ਚੌੜਾਈ ਦੀ ਗਣਨਾ ਕਰੋ
ਚੌੜਾਈ ਨੂੰ ਸੀਮਤ ਕਰੋ
ਆਟੋਮੈਟਿਕਲੀ y ਚੌੜਾਈ ਦੀ ਗਣਨਾ ਕਰੋ
ਨਤੀਜੇ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਲੋੜੀਦਾ ਢੰਗ ਚੁਣੋ।
ਮੁੱਲ
1 - 512 ਬਿੱਟ
16 ਬਿੱਟ
ਨਤੀਜੇ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਦੱਸੋ।
ਇਹ ਮੁੱਲ ਤਾਂ ਹੀ ਪ੍ਰਭਾਵੀ ਹੋਵੇਗਾ ਜੇਕਰ ਤੁਸੀਂ ਟਾਈਪ ਪੈਰਾਮੀਟਰ ਵਿੱਚ ਚੌੜਾਈ ਨੂੰ ਸੀਮਤ ਕਰੋ ਦੀ ਚੋਣ ਕਰਦੇ ਹੋ।
ਨਤੀਜੇ ਦੀ ਚੌੜਾਈ
1 - 512 ਬਿੱਟ
—
ਨਤੀਜੇ[] ਪੋਰਟ ਦੀ ਪ੍ਰਭਾਵੀ ਚੌੜਾਈ ਦਿਖਾਉਂਦਾ ਹੈ।
4.7.2. ਜਨਰਲ 2 ਟੈਬ
ਸਾਰਣੀ 13. ਜਨਰਲ 2 ਟੈਬ
ਪੈਰਾਮੀਟਰ
ਡਾਟਾ ਇਨਪੁਟ
ਕੀ 'ਡਾਟੈਬ' ਇਨਪੁਟ ਬੱਸ ਦਾ ਕੋਈ ਸਥਿਰ ਮੁੱਲ ਹੈ?
ਨਹੀਂ ਹਾਂ
ਮੁੱਲ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਵਰਣਨ
ਨੰ
ਦੇ ਸਥਿਰ ਮੁੱਲ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ ਹਾਂ ਚੁਣੋ
'datab' ਇਨਪੁਟ ਬੱਸ, ਜੇਕਰ ਕੋਈ ਹੋਵੇ।
ਜਾਰੀ…
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 20
ਫੀਡਬੈਕ ਭੇਜੋ
4. LPM_MULT (ਮਲਟੀਪਲੇਅਰ) IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਮੁੱਲ
0 ਤੋਂ ਵੱਧ ਕੋਈ ਵੀ ਮੁੱਲ
ਗੁਣਾ ਦੀ ਕਿਸਮ
ਕਿਸ ਕਿਸਮ ਦਾ
ਦਸਤਖਤ ਨਹੀਂ ਕੀਤੇ
ਕੀ ਤੁਸੀਂ ਗੁਣਾ ਚਾਹੁੰਦੇ ਹੋ? ਦਸਤਖਤ ਕੀਤੇ
ਲਾਗੂ ਕਰਨ ਦੀ ਸ਼ੈਲੀ
ਕਿਹੜਾ ਗੁਣਕ ਲਾਗੂ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ?
ਡਿਫੌਲਟ ਲਾਗੂਕਰਨ ਦੀ ਵਰਤੋਂ ਕਰੋ
ਸਮਰਪਿਤ ਗੁਣਕ ਸਰਕਟਰੀ ਦੀ ਵਰਤੋਂ ਕਰੋ
ਤਰਕ ਤੱਤਾਂ ਦੀ ਵਰਤੋਂ ਕਰੋ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਵਰਣਨ
0
ਡਾਟਾਬ[] ਪੋਰਟ ਦਾ ਸਥਿਰ ਮੁੱਲ ਦਿਓ।
ਦਸਤਖਤ ਨਹੀਂ ਕੀਤੇ
ਡੈਟਾ[] ਅਤੇ ਡਾਟਾਬ[] ਇਨਪੁਟਸ ਦੋਵਾਂ ਲਈ ਪ੍ਰਤੀਨਿਧਤਾ ਫਾਰਮੈਟ ਦਿਓ।
ਡਿਫੌਲਟ ਲਾਗੂ ਕਰਨ ਵਾਲੇ ਆਇਨ ਦੀ ਵਰਤੋਂ ਕਰੋ
ਨਤੀਜੇ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਲੋੜੀਦਾ ਢੰਗ ਚੁਣੋ।
4.7.3 ਪਾਈਪਲਾਈਨਿੰਗ
ਸਾਰਣੀ 14. ਪਾਈਪਲਾਈਨਿੰਗ ਟੈਬ
ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਕੀ ਤੁਸੀਂ ਫੰਕਸ਼ਨ ਨੂੰ ਪਾਈਪਲਾਈਨ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ?
ਪਾਈਪਲਾਈਨ
ਨਹੀਂ ਹਾਂ
ਲੇਟੈਂਸੀ ਕਲੀਅਰ ਸਿਗਨਲ ਦੀ ਕਿਸਮ
0 ਤੋਂ ਵੱਧ ਕੋਈ ਵੀ ਮੁੱਲ।
ਕੋਈ ਵੀ ACLR SCLR ਨਹੀਂ
ਇੱਕ 'clken' ਘੜੀ ਬਣਾਓ
—
ਘੜੀ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
ਤੁਸੀਂ ਕਿਸ ਕਿਸਮ ਦਾ ਅਨੁਕੂਲਨ ਚਾਹੁੰਦੇ ਹੋ?
ਟਾਈਪ ਕਰੋ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਸਪੀਡ ਖੇਤਰ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਵਰਣਨ
ਕੋਈ 1 ਕੋਈ ਨਹੀਂ
—
ਗੁਣਕ ਦੇ ਆਉਟਪੁੱਟ ਲਈ ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਹਾਂ ਚੁਣੋ। ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਨਾਲ ਆਉਟਪੁੱਟ ਵਿੱਚ ਵਾਧੂ ਲੇਟੈਂਸੀ ਸ਼ਾਮਲ ਹੁੰਦੀ ਹੈ।
ਘੜੀ ਦੇ ਚੱਕਰ ਵਿੱਚ ਲੋੜੀਂਦੀ ਆਉਟਪੁੱਟ ਲੇਟੈਂਸੀ ਨਿਰਧਾਰਤ ਕਰੋ।
ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਲਈ ਰੀਸੈਟ ਦੀ ਕਿਸਮ ਦੱਸੋ। ਜੇਕਰ ਤੁਸੀਂ ਕਿਸੇ ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਦੀ ਵਰਤੋਂ ਨਹੀਂ ਕਰਦੇ ਹੋ ਤਾਂ ਕੋਈ ਨਹੀਂ ਚੁਣੋ। ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਲਈ ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਵਰਤਣ ਲਈ ACLR ਦੀ ਚੋਣ ਕਰੋ। ਇਹ ACLR ਪੋਰਟ ਜਨਰੇਟ ਕਰੇਗਾ। ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਲਈ ਸਮਕਾਲੀ ਕਲੀਅਰ ਵਰਤਣ ਲਈ SCLR ਦੀ ਚੋਣ ਕਰੋ। ਇਹ SCLR ਪੋਰਟ ਜਨਰੇਟ ਕਰੇਗਾ।
ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਦੇ ਘੜੀ ਪੋਰਟ ਲਈ ਕਿਰਿਆਸ਼ੀਲ ਉੱਚ ਘੜੀ ਸਮਰੱਥ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ
ਡਿਫਾਲਟ
IP ਕੋਰ ਲਈ ਲੋੜੀਂਦਾ ਓਪਟੀਮਾਈਜੇਸ਼ਨ ਨਿਰਧਾਰਤ ਕਰੋ।
Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਨੂੰ IP ਕੋਰ ਲਈ ਸਭ ਤੋਂ ਵਧੀਆ ਅਨੁਕੂਲਤਾ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਡਿਫੌਲਟ ਚੁਣੋ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 21
683490 | 2020.10.05 ਫੀਡਬੈਕ ਭੇਜੋ
5. LPM_ADD_SUB (ਜੋੜਨ ਵਾਲਾ/ਘਟਾਣ ਵਾਲਾ)
ਚਿੱਤਰ 4.
LPM_ADD_SUB IP ਕੋਰ ਤੁਹਾਨੂੰ ਇਨਪੁਟ ਮੁੱਲਾਂ ਦੇ ਜੋੜ ਜਾਂ ਅੰਤਰ ਵਾਲੇ ਆਉਟਪੁੱਟ ਨੂੰ ਪੈਦਾ ਕਰਨ ਲਈ ਡੇਟਾ ਦੇ ਸੈੱਟਾਂ ਨੂੰ ਜੋੜਨ ਜਾਂ ਘਟਾਉਣ ਲਈ ਇੱਕ ਯੋਜਕ ਜਾਂ ਘਟਾਓ ਕਰਨ ਵਾਲੇ ਨੂੰ ਲਾਗੂ ਕਰਨ ਦਿੰਦਾ ਹੈ।
ਹੇਠਾਂ ਦਿੱਤਾ ਚਿੱਤਰ LPM_ADD_SUB IP ਕੋਰ ਲਈ ਪੋਰਟਾਂ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।
LPM_ADD_SUB ਪੋਰਟ
LPM_ADD_SUB add_sub cin
ਡਾਟਾ[]
clock clken datab[] aclr
ਨਤੀਜਾ[] ਓਵਰਫਲੋ ਕਾਉਟ
inst
5.1 ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ
LPM_ADD_SUB IP ਕੋਰ ਹੇਠ ਲਿਖੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੀ ਪੇਸ਼ਕਸ਼ ਕਰਦਾ ਹੈ: · ਯੋਜਕ, ਘਟਾਓ ਕਰਨ ਵਾਲਾ, ਅਤੇ ਗਤੀਸ਼ੀਲ ਤੌਰ 'ਤੇ ਸੰਰਚਨਾ ਯੋਗ ਯੋਜਕ/ਸਬਟਰੈਕਟਰ ਬਣਾਉਂਦਾ ਹੈ
ਫੰਕਸ਼ਨ। · 1 ਬਿੱਟ ਦੇ ਡੇਟਾ ਚੌੜਾਈ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। · ਹਸਤਾਖਰਿਤ ਅਤੇ ਹਸਤਾਖਰਿਤ ਵਰਗੇ ਡੇਟਾ ਪ੍ਰਤੀਨਿਧਤਾ ਫਾਰਮੈਟ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। · ਵਿਕਲਪਿਕ ਕੈਰੀ-ਇਨ (ਉਧਾਰ-ਆਉਟ), ਅਸਿੰਕਰੋਨਸ ਕਲੀਅਰ, ਅਤੇ ਘੜੀ ਸਮਰੱਥ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ
ਇੰਪੁੱਟ ਪੋਰਟ. · ਵਿਕਲਪਿਕ ਕੈਰੀ-ਆਊਟ (ਉਧਾਰ-ਇਨ) ਅਤੇ ਓਵਰਫਲੋ ਆਉਟਪੁੱਟ ਪੋਰਟਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। · ਇਨਪੁਟ ਡੇਟਾ ਬੱਸਾਂ ਵਿੱਚੋਂ ਕਿਸੇ ਇੱਕ ਨੂੰ ਇੱਕ ਸਥਿਰ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ। · ਕੌਂਫਿਗਰੇਬਲ ਆਉਟਪੁੱਟ ਲੇਟੈਂਸੀ ਨਾਲ ਪਾਈਪਲਾਈਨਿੰਗ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਲਈ ਵਾਰੰਟ ਦਿੰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਅਰਜ਼ੀ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ISO 9001:2015 ਰਜਿਸਟਰਡ
5. LPM_ADD_SUB (ਯੋਜਕ/ਘਟਾਕ) 683490 | 2020.10.05
5.2 Verilog HDL ਪ੍ਰੋਟੋਟਾਈਪ
ਨਿਮਨਲਿਖਤ ਵੇਰੀਲੋਗ ਐਚਡੀਐਲ ਪ੍ਰੋਟੋਟਾਈਪ ਵੇਰੀਲੌਗ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.v) lpm.v ਵਿੱਚ edasynthesis ਡਾਇਰੈਕਟਰੀ.
ਮੋਡੀਊਲ lpm_add_sub (ਨਤੀਜਾ, cout, overflow,add_sub, cin, dataa, datab, ਘੜੀ, clken, aclr); ਪੈਰਾਮੀਟਰ lpm_type = “lpm_add_sub”; ਪੈਰਾਮੀਟਰ lpm_width = 1; ਪੈਰਾਮੀਟਰ lpm_direction = “ਅਣਵਰਤਿਆ”; ਪੈਰਾਮੀਟਰ lpm_representation = "ਦਸਤਖਤ ਕੀਤੇ"; ਪੈਰਾਮੀਟਰ lpm_pipeline = 0; ਪੈਰਾਮੀਟਰ lpm_hint = “ਅਣਵਰਤਿਆ”; ਇਨਪੁਟ [lpm_width-1:0] dataa, datab; ਇਨਪੁਟ add_sub, cin; ਇਨਪੁਟ ਘੜੀ; ਇੰਪੁੱਟ clken; ਇੰਪੁੱਟ aclr; ਆਉਟਪੁੱਟ [lpm_width-1:0] ਨਤੀਜਾ; ਆਉਟਪੁੱਟ ਕਾਉਟ, ਓਵਰਫਲੋ; endmodule
5.3 VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ
VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.vhd) ਵਿੱਚ LPM_PACK.vhd librariesvhdllpm ਡਾਇਰੈਕਟਰੀ.
ਕੰਪੋਨੈਂਟ LPM_ADD_SUB ਜੈਨਰਿਕ (LPM_WIDTH : ਕੁਦਰਤੀ;
LPM_DIRECTION : ਸਤਰ := “ਅਣਵਰਤਿਆ”; LPM_REPRESENTATION: ਸਤਰ := "ਦਸਤਖਤ"; LPM_PIPELINE : ਕੁਦਰਤੀ := 0; LPM_TYPE : ਸਤਰ := L_ADD_SUB; LPM_HINT : ਸਤਰ := "ਅਣਵਰਤਿਆ"); ਪੋਰਟ (DATAA: in std_logic_vector(LPM_WIDTH-1 downto 0); DATAB: in std_logic_vector(LPM_WIDTH-1 downto 0); ACLR: std_logic ਵਿੱਚ := '0'; CLOCK: in std_logic := '0'; CLKEN : std_logic ਵਿੱਚ := '1'; CIN: std_logic ਵਿੱਚ := 'Z'; ADD_SUB: std_logic ਵਿੱਚ := '1'; ਨਤੀਜਾ: ਬਾਹਰ std_logic_vector(LPM_WIDTH-1 downto 0); COUT: out std_logic; ਓਵਰਫਲੋ: out std_logic); ਅੰਤ ਭਾਗ;
5.4 VHDL LIBRARY_USE ਘੋਸ਼ਣਾ ਪੱਤਰ
ਜੇਕਰ ਤੁਸੀਂ VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋ ਤਾਂ VHDL ਲਾਇਬ੍ਰੇਰੀ-ਯੂਜ਼ ਘੋਸ਼ਣਾ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
ਲਾਇਬ੍ਰੇਰੀ ਐਲਪੀਐਮ; lpm.lpm_components.all ਦੀ ਵਰਤੋਂ ਕਰੋ;
5.5. ਬੰਦਰਗਾਹਾਂ
ਨਿਮਨਲਿਖਤ ਟੇਬਲ LPM_ADD_SUB IP ਕੋਰ ਲਈ ਇਨਪੁਟ ਅਤੇ ਆਉਟਪੁੱਟ ਪੋਰਟਾਂ ਨੂੰ ਸੂਚੀਬੱਧ ਕਰਦੇ ਹਨ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 23
5. LPM_ADD_SUB (ਯੋਜਕ/ਘਟਾਕ) 683490 | 2020.10.05
ਸਾਰਣੀ 15. LPM_ADD_SUB IP ਕੋਰ ਇਨਪੁਟ ਪੋਰਟ
ਪੋਰਟ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਸਿੰਨ
ਨੰ
ਘੱਟ-ਆਰਡਰ ਬਿੱਟ ਵਿੱਚ ਕੈਰੀ-ਇਨ ਕਰੋ। ਐਡੀਸ਼ਨ ਓਪਰੇਸ਼ਨਾਂ ਲਈ, ਡਿਫੌਲਟ ਮੁੱਲ 0 ਹੈ। ਲਈ
ਘਟਾਓ ਓਪਰੇਸ਼ਨ, ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 1 ਹੈ।
ਡਾਟਾ[]
ਹਾਂ
ਡਾਟਾ ਇੰਪੁੱਟ। ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ LPM_WIDTH ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
ਡਾਟਾਬ[]
ਹਾਂ
ਡਾਟਾ ਇੰਪੁੱਟ। ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ LPM_WIDTH ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
add_sub
ਨੰ
ਯੋਜਕ ਅਤੇ ਘਟਾਓਕਾਰ ਵਿਚਕਾਰ ਗਤੀਸ਼ੀਲ ਸਵਿਚਿੰਗ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਵਿਕਲਪਿਕ ਇਨਪੁਟ ਪੋਰਟ
ਫੰਕਸ਼ਨ। ਜੇਕਰ LPM_DIRECTION ਪੈਰਾਮੀਟਰ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ add_sub ਦੀ ਵਰਤੋਂ ਨਹੀਂ ਕੀਤੀ ਜਾ ਸਕਦੀ। ਜੇ
ਛੱਡਿਆ ਗਿਆ, ਡਿਫੌਲਟ ਮੁੱਲ ADD ਹੈ। Intel ਸਿਫ਼ਾਰਿਸ਼ ਕਰਦਾ ਹੈ ਕਿ ਤੁਸੀਂ ਇਸਦੀ ਵਰਤੋਂ ਕਰੋ
LPM_ADD_SUB ਫੰਕਸ਼ਨ ਦੇ ਸੰਚਾਲਨ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ LPM_DIRECTION ਪੈਰਾਮੀਟਰ,
add_sub ਪੋਰਟ ਨੂੰ ਇੱਕ ਸਥਿਰ ਨਿਰਧਾਰਤ ਕਰਨ ਦੀ ਬਜਾਏ.
ਘੜੀ
ਨੰ
ਪਾਈਪਲਾਈਨ ਵਰਤੋਂ ਲਈ ਇੰਪੁੱਟ। ਘੜੀ ਪੋਰਟ ਪਾਈਪਲਾਈਨ ਲਈ ਘੜੀ ਇੰਪੁੱਟ ਪ੍ਰਦਾਨ ਕਰਦੀ ਹੈ
ਕਾਰਵਾਈ 0 (ਡਿਫੌਲਟ) ਤੋਂ ਇਲਾਵਾ LPM_PIPELINE ਮੁੱਲਾਂ ਲਈ, ਕਲਾਕ ਪੋਰਟ ਹੋਣਾ ਲਾਜ਼ਮੀ ਹੈ
ਸਮਰੱਥ
clken
ਨੰ
ਪਾਈਪਲਾਈਨ ਵਰਤੋਂ ਲਈ ਘੜੀ ਯੋਗ। ਜਦੋਂ clken ਪੋਰਟ ਉੱਚੀ ਮੰਨੀ ਜਾਂਦੀ ਹੈ, ਯੋਜਕ/
ਘਟਾਓ ਕਰਨ ਵਾਲੀ ਕਾਰਵਾਈ ਹੁੰਦੀ ਹੈ। ਜਦੋਂ ਸਿਗਨਲ ਘੱਟ ਹੁੰਦਾ ਹੈ, ਕੋਈ ਓਪਰੇਸ਼ਨ ਨਹੀਂ ਹੁੰਦਾ। ਜੇ
ਛੱਡਿਆ ਗਿਆ, ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 1 ਹੈ।
aclr
ਨੰ
ਪਾਈਪਲਾਈਨਡ ਵਰਤੋਂ ਲਈ ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ। ਪਾਈਪਲਾਈਨ ਇੱਕ ਪਰਿਭਾਸ਼ਿਤ (X) ਤੋਂ ਸ਼ੁਰੂ ਹੁੰਦੀ ਹੈ
ਤਰਕ ਪੱਧਰ. ਏਸੀਐਲਆਰ ਪੋਰਟ ਨੂੰ ਕਿਸੇ ਵੀ ਸਮੇਂ ਪਾਈਪਲਾਈਨ ਨੂੰ ਸਾਰੇ 0s ਤੇ ਰੀਸੈਟ ਕਰਨ ਲਈ ਵਰਤਿਆ ਜਾ ਸਕਦਾ ਹੈ,
ਘੜੀ ਸਿਗਨਲ ਲਈ ਅਸਿੰਕਰੋਨਸ।
ਸਾਰਣੀ 16. LPM_ADD_SUB IP ਕੋਰ ਆਉਟਪੁੱਟ ਪੋਰਟ
ਪੋਰਟ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਨਤੀਜਾ[]
ਹਾਂ
ਡਾਟਾ ਆਉਟਪੁੱਟ. ਆਉਟਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ LPM_WIDTH ਪੈਰਾਮੀਟਰ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ
ਮੁੱਲ.
ਕਾਉਟ
ਨੰ
ਸਭ ਤੋਂ ਮਹੱਤਵਪੂਰਨ ਬਿੱਟ (MSB) ਦਾ ਕੈਰੀ-ਆਊਟ (ਉਧਾਰ-ਇਨ)। ਕਾਉਟ ਪੋਰਟ ਵਿੱਚ ਇੱਕ ਭੌਤਿਕ ਹੈ
MSB ਦੇ ਕੈਰੀ-ਆਊਟ (ਉਧਾਰ-ਇਨ) ਵਜੋਂ ਵਿਆਖਿਆ। ਕਾਉਟ ਪੋਰਟ ਖੋਜਦਾ ਹੈ
ਬਿਨਾਂ ਦਸਤਖਤ ਕੀਤੇ ਕਾਰਜਾਂ ਵਿੱਚ ਓਵਰਫਲੋ। ਕਾਉਟ ਪੋਰਟ ਲਈ ਉਸੇ ਤਰੀਕੇ ਨਾਲ ਕੰਮ ਕਰਦਾ ਹੈ
ਹਸਤਾਖਰਿਤ ਅਤੇ ਅਣ-ਹਸਤਾਖਰਿਤ ਓਪਰੇਸ਼ਨ।
ਓਵਰਫਲੋ
ਨੰ
ਵਿਕਲਪਿਕ ਓਵਰਫਲੋ ਅਪਵਾਦ ਆਉਟਪੁੱਟ। ਓਵਰਫਲੋ ਪੋਰਟ ਦੀ ਇੱਕ ਭੌਤਿਕ ਵਿਆਖਿਆ ਹੈ
MSB ਦੇ ਕੈਰੀ-ਆਊਟ ਦੇ ਨਾਲ MSB ਵਿੱਚ ਕੈਰੀ-ਇਨ ਦਾ XOR। ਓਵਰਫਲੋ ਪੋਰਟ
ਦਾਅਵਾ ਕਰਦਾ ਹੈ ਜਦੋਂ ਨਤੀਜੇ ਉਪਲਬਧ ਸ਼ੁੱਧਤਾ ਤੋਂ ਵੱਧ ਜਾਂਦੇ ਹਨ, ਅਤੇ ਉਦੋਂ ਹੀ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ ਜਦੋਂ
LPM_REPRESENTATION ਪੈਰਾਮੀਟਰ ਮੁੱਲ ਸਾਈਨ ਕੀਤਾ ਗਿਆ ਹੈ।
5.6. ਪੈਰਾਮੀਟਰ
ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ LPM_ADD_SUB IP ਕੋਰ ਪੈਰਾਮੀਟਰਾਂ ਨੂੰ ਸੂਚੀਬੱਧ ਕਰਦੀ ਹੈ।
ਸਾਰਣੀ 17. LPM_ADD_SUB IP ਕੋਰ ਪੈਰਾਮੀਟਰ
ਪੈਰਾਮੀਟਰ ਨਾਮ LPM_WIDTH
ਪੂਰਨ ਅੰਕ ਟਾਈਪ ਕਰੋ
ਲੋੜੀਂਦਾ ਹਾਂ
ਵਰਣਨ
ਡਾਟਾ[], ਡਾਟਾਬ[], ਅਤੇ ਨਤੀਜਾ[] ਪੋਰਟਾਂ ਦੀ ਚੌੜਾਈ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
LPM_DIRECTION
ਸਤਰ
ਨੰ
ਮੁੱਲ ADD, SUB, ਅਤੇ ਅਣਵਰਤੇ ਹਨ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ DEFAULT ਹੁੰਦਾ ਹੈ, ਜੋ ਪੈਰਾਮੀਟਰ ਨੂੰ add_sub ਪੋਰਟ ਤੋਂ ਇਸਦਾ ਮੁੱਲ ਲੈਣ ਲਈ ਨਿਰਦੇਸ਼ਿਤ ਕਰਦਾ ਹੈ। ਜੇਕਰ LPM_DIRECTION ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ ਤਾਂ add_sub ਪੋਰਟ ਦੀ ਵਰਤੋਂ ਨਹੀਂ ਕੀਤੀ ਜਾ ਸਕਦੀ। Intel ਸਿਫ਼ਾਰਿਸ਼ ਕਰਦਾ ਹੈ ਕਿ ਤੁਸੀਂ LPM_DIRECTION ਪੈਰਾਮੀਟਰ ਦੀ ਵਰਤੋਂ LPM_ADD_SUB ਫੰਕਸ਼ਨ ਦੇ ਸੰਚਾਲਨ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਕਰੋ, ਨਾ ਕਿ add_sub ਪੋਰਟ ਨੂੰ ਇੱਕ ਸਥਿਰ ਨਿਰਧਾਰਤ ਕਰਨ ਦੀ ਬਜਾਏ।
ਜਾਰੀ…
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 24
ਫੀਡਬੈਕ ਭੇਜੋ
5. LPM_ADD_SUB (ਯੋਜਕ/ਘਟਾਕ) 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ ਨਾਮ LPM_REPRESENTATION LPM_PIPELINE LPM_HINT LPM_TYPE ONE_INPUT_IS_CONSTANT MAXIMIZE_SPEED
INTENDED_DEVICE_FAMILY
ਸਟ੍ਰਿੰਗ ਪੂਰਨ ਅੰਕ ਸਟ੍ਰਿੰਗ ਸਟ੍ਰਿੰਗ ਪੂਰਨ ਅੰਕ ਟਾਈਪ ਕਰੋ
ਸਤਰ
ਲੋੜੀਂਦਾ ਨਹੀਂ ਨਹੀਂ ਨਹੀਂ ਨਹੀਂ ਨਹੀਂ ਨਹੀਂ
ਨੰ
ਵਰਣਨ
ਕੀਤੇ ਗਏ ਜੋੜ ਦੀ ਕਿਸਮ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਮੁੱਲ ਹਸਤਾਖਰਿਤ ਅਤੇ ਹਸਤਾਖਰਿਤ ਹਨ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ ਸਾਈਨ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। ਜਦੋਂ ਇਹ ਪੈਰਾਮੀਟਰ SIGNED 'ਤੇ ਸੈੱਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਜੋੜਨ ਵਾਲਾ/ਘਟਾਉਣ ਵਾਲਾ ਡਾਟਾ ਇੰਪੁੱਟ ਨੂੰ ਹਸਤਾਖਰਿਤ ਦੋ ਦੇ ਪੂਰਕ ਵਜੋਂ ਵਿਆਖਿਆ ਕਰਦਾ ਹੈ।
ਨਤੀਜਾ[] ਆਉਟਪੁੱਟ ਨਾਲ ਸੰਬੰਧਿਤ ਲੇਟੈਂਸੀ ਕਲਾਕ ਚੱਕਰਾਂ ਦੀ ਸੰਖਿਆ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਜ਼ੀਰੋ (0) ਦਾ ਮੁੱਲ ਦਰਸਾਉਂਦਾ ਹੈ ਕਿ ਕੋਈ ਲੇਟੈਂਸੀ ਮੌਜੂਦ ਨਹੀਂ ਹੈ, ਅਤੇ ਇਹ ਕਿ ਇੱਕ ਪੂਰੀ ਤਰ੍ਹਾਂ ਸੰਯੋਜਨਕ ਫੰਕਸ਼ਨ ਸ਼ੁਰੂ ਕੀਤਾ ਜਾਵੇਗਾ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 0 (ਗੈਰ-ਪਾਈਪਲਾਈਨ) ਹੁੰਦਾ ਹੈ।
ਤੁਹਾਨੂੰ VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ Intel-ਵਿਸ਼ੇਸ਼ ਮਾਪਦੰਡ ਨਿਰਧਾਰਤ ਕਰਨ ਦੀ ਇਜਾਜ਼ਤ ਦਿੰਦਾ ਹੈ files (.vhd)। ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ ਅਣਵਰਤਿਆ ਹੈ।
VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਪੈਰਾਮੀਟਰਾਈਜ਼ਡ ਮੋਡੀਊਲ (LPM) ਇਕਾਈ ਨਾਮ ਦੀ ਲਾਇਬ੍ਰੇਰੀ ਦੀ ਪਛਾਣ ਕਰਦਾ ਹੈ files.
Intel-ਵਿਸ਼ੇਸ਼ ਪੈਰਾਮੀਟਰ। VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ONE_INPUT_IS_CONSTANT ਪੈਰਾਮੀਟਰ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ LPM_HINT ਪੈਰਾਮੀਟਰ ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ fileਐੱਸ. ਮੁੱਲ ਹਾਂ, ਨਹੀਂ, ਅਤੇ ਅਣਵਰਤੇ ਹਨ। ਇੱਕ ਇੰਪੁੱਟ ਸਥਿਰ ਹੋਣ 'ਤੇ ਵਧੇਰੇ ਅਨੁਕੂਲਤਾ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ NO ਹੁੰਦਾ ਹੈ।
Intel-ਵਿਸ਼ੇਸ਼ ਪੈਰਾਮੀਟਰ। VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ MAXIMIZE_SPEED ਪੈਰਾਮੀਟਰ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ LPM_HINT ਪੈਰਾਮੀਟਰ ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ fileਐੱਸ. ਤੁਸੀਂ 0 ਅਤੇ 10 ਦੇ ਵਿਚਕਾਰ ਇੱਕ ਮੁੱਲ ਨਿਰਧਾਰਿਤ ਕਰ ਸਕਦੇ ਹੋ। ਜੇਕਰ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਰੂਟੇਬਿਲਟੀ ਦੀ ਬਜਾਏ ਸਪੀਡ ਲਈ LPM_ADD_SUB ਫੰਕਸ਼ਨ ਦੀ ਇੱਕ ਖਾਸ ਉਦਾਹਰਣ ਨੂੰ ਅਨੁਕੂਲ ਬਣਾਉਣ ਦੀ ਕੋਸ਼ਿਸ਼ ਕਰਦਾ ਹੈ, ਅਤੇ ਓਪਟੀਮਾਈਜੇਸ਼ਨ ਤਕਨੀਕ ਤਰਕ ਵਿਕਲਪ ਦੀ ਸੈਟਿੰਗ ਨੂੰ ਓਵਰਰਾਈਡ ਕਰਦਾ ਹੈ। ਜੇਕਰ MAXIMIZE_SPEED ਦੀ ਵਰਤੋਂ ਨਹੀਂ ਕੀਤੀ ਜਾਂਦੀ ਹੈ, ਤਾਂ ਇਸਦੀ ਬਜਾਏ ਓਪਟੀਮਾਈਜੇਸ਼ਨ ਤਕਨੀਕ ਵਿਕਲਪ ਦਾ ਮੁੱਲ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ। ਜੇਕਰ MAXIMIZE_SPEED ਲਈ ਸੈਟਿੰਗ 6 ਜਾਂ ਵੱਧ ਹੈ, ਤਾਂ ਕੰਪਾਈਲਰ ਕੈਰੀ ਚੇਨਾਂ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਉੱਚ ਗਤੀ ਲਈ LPM_ADD_SUB IP ਕੋਰ ਨੂੰ ਅਨੁਕੂਲ ਬਣਾਉਂਦਾ ਹੈ; ਜੇਕਰ ਸੈਟਿੰਗ 5 ਜਾਂ ਘੱਟ ਹੈ, ਤਾਂ ਕੰਪਾਈਲਰ ਕੈਰੀ ਚੇਨਾਂ ਦੇ ਬਿਨਾਂ ਡਿਜ਼ਾਈਨ ਨੂੰ ਲਾਗੂ ਕਰਦਾ ਹੈ। ਇਹ ਪੈਰਾਮੀਟਰ ਸਾਈਕਲੋਨ, ਸਟ੍ਰੈਟਿਕਸ, ਅਤੇ ਸਟ੍ਰੈਟਿਕਸ GX ਡਿਵਾਈਸਾਂ ਲਈ ਸਿਰਫ਼ ਉਦੋਂ ਹੀ ਨਿਰਧਾਰਤ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ ਜਦੋਂ add_sub ਪੋਰਟ ਦੀ ਵਰਤੋਂ ਨਾ ਕੀਤੀ ਜਾਂਦੀ ਹੋਵੇ।
ਇਹ ਪੈਰਾਮੀਟਰ ਮਾਡਲਿੰਗ ਅਤੇ ਵਿਹਾਰਕ ਸਿਮੂਲੇਸ਼ਨ ਉਦੇਸ਼ਾਂ ਲਈ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ। ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਇਸ ਪੈਰਾਮੀਟਰ ਲਈ ਮੁੱਲ ਦੀ ਗਣਨਾ ਕਰਦਾ ਹੈ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 25
683490 | 2020.10.05 ਫੀਡਬੈਕ ਭੇਜੋ
6. LPM_COMPARE (ਤੁਲਨਾਕਾਰ)
ਚਿੱਤਰ 5.
LPM_COMPARE IP ਕੋਰ ਉਹਨਾਂ ਵਿਚਕਾਰ ਸਬੰਧ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਡੇਟਾ ਦੇ ਦੋ ਸੈੱਟਾਂ ਦੇ ਮੁੱਲ ਦੀ ਤੁਲਨਾ ਕਰਦਾ ਹੈ। ਇਸਦੇ ਸਰਲ ਰੂਪ ਵਿੱਚ, ਤੁਸੀਂ ਇਹ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਇੱਕ ਨਿਵੇਕਲੇ-OR ਗੇਟ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ ਕਿ ਡੇਟਾ ਦੇ ਦੋ ਬਿੱਟ ਬਰਾਬਰ ਹਨ ਜਾਂ ਨਹੀਂ।
ਹੇਠਾਂ ਦਿੱਤਾ ਚਿੱਤਰ LPM_COMPARE IP ਕੋਰ ਲਈ ਪੋਰਟਾਂ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।
LPM_COMPARE ਪੋਰਟ
LPM_COMPARE
clken
ਐਲਬ
ਏਈਬੀ
ਡਾਟਾ[]
ਏਜੀਬੀ
ਡਾਟਾਬ[]
ਉਮਰ
ਘੜੀ
ਅਨੇਬ
aclr
ਅਲੇਬ
inst
6.1 ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ
LPM_COMPARE IP ਕੋਰ ਹੇਠ ਲਿਖੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੀ ਪੇਸ਼ਕਸ਼ ਕਰਦਾ ਹੈ: · ਡੇਟਾ ਦੇ ਦੋ ਸੈੱਟਾਂ ਦੀ ਤੁਲਨਾ ਕਰਨ ਲਈ ਇੱਕ ਤੁਲਨਾਕਾਰ ਫੰਕਸ਼ਨ ਤਿਆਰ ਕਰਦਾ ਹੈ · 1 ਬਿੱਟਾਂ ਦੀ ਚੌੜਾਈ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਡੇਟਾ ਪ੍ਰਸਤੁਤੀ ਫਾਰਮੈਟ ਜਿਵੇਂ ਕਿ ਦਸਤਖਤ ਕੀਤੇ ਅਤੇ ਹਸਤਾਖਰਿਤ ਕੀਤੇ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਹੇਠਾਂ ਦਿੱਤੇ ਆਉਟਪੁੱਟ ਕਿਸਮਾਂ ਨੂੰ ਤਿਆਰ ਕਰਦਾ ਹੈ:
— ਐਲਬ (ਇਨਪੁਟ ਏ ਇੰਪੁੱਟ ਬੀ ਤੋਂ ਘੱਟ ਹੈ) — ਏਈਬੀ (ਇਨਪੁਟ ਏ ਇੰਪੁੱਟ ਬੀ ਦੇ ਬਰਾਬਰ ਹੈ) — ਏਜੀਬੀ (ਇਨਪੁਟ ਏ ਇੰਪੁੱਟ ਬੀ ਤੋਂ ਵੱਡਾ ਹੈ) — ਏਜੀਬੀ (ਇਨਪੁਟ ਏ ਇੰਪੁੱਟ ਬੀ ਤੋਂ ਵੱਡਾ ਜਾਂ ਬਰਾਬਰ ਹੈ) — ਅਨੇਬ ( ਇਨਪੁਟ A ਇੰਪੁੱਟ B ਦੇ ਬਰਾਬਰ ਨਹੀਂ ਹੈ) — ਅਲੇਬ (ਇਨਪੁਟ A ਇੰਪੁੱਟ B ਤੋਂ ਘੱਟ ਜਾਂ ਬਰਾਬਰ ਹੈ) · ਵਿਕਲਪਿਕ ਅਸਿੰਕਰੋਨਸ ਕਲੀਅਰ ਅਤੇ ਕਲਾਕ ਇਨਪੁਟ ਪੋਰਟਾਂ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਡੇਟਾਬ[] ਇਨਪੁਟ ਨੂੰ ਇੱਕ ਸਥਿਰ ਲਈ ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ · ਸੰਰਚਨਾਯੋਗ ਆਉਟਪੁੱਟ ਲੇਟੈਂਸੀ ਨਾਲ ਪਾਈਪਲਾਈਨਿੰਗ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਲਈ ਵਾਰੰਟ ਦਿੰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਅਰਜ਼ੀ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ISO 9001:2015 ਰਜਿਸਟਰਡ
6. LPM_COMPARE (ਤੁਲਨਾਕਾਰ) 683490 | 2020.10.05
6.2 Verilog HDL ਪ੍ਰੋਟੋਟਾਈਪ
ਨਿਮਨਲਿਖਤ ਵੇਰੀਲੋਗ ਐਚਡੀਐਲ ਪ੍ਰੋਟੋਟਾਈਪ ਵੇਰੀਲੌਗ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.v) lpm.v ਵਿੱਚ edasynthesis ਡਾਇਰੈਕਟਰੀ.
ਮੋਡੀਊਲ lpm_compare ( alb, aeb, agb, aleb, aneb, ageb, dataa, datab, ਘੜੀ, clken, aclr); ਪੈਰਾਮੀਟਰ lpm_type = “lpm_compare”; ਪੈਰਾਮੀਟਰ lpm_width = 1; ਪੈਰਾਮੀਟਰ lpm_representation = “ਅਨਸਾਈਨਡ”; ਪੈਰਾਮੀਟਰ lpm_pipeline = 0; ਪੈਰਾਮੀਟਰ lpm_hint = “ਅਣਵਰਤਿਆ”; ਇਨਪੁਟ [lpm_width-1:0] dataa, datab; ਇਨਪੁਟ ਘੜੀ; ਇੰਪੁੱਟ clken; ਇੰਪੁੱਟ aclr; ਆਉਟਪੁੱਟ alb, aeb, agb, aleb, aneb, ageb; endmodule
6.3 VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ
VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.vhd) ਵਿੱਚ LPM_PACK.vhd librariesvhdllpm ਡਾਇਰੈਕਟਰੀ.
ਕੰਪੋਨੈਂਟ LPM_COMPARE ਜੈਨਰਿਕ (LPM_WIDTH : ਕੁਦਰਤੀ;
LPM_REPRESENTATION : ਸਤਰ := “ਅਨਸਾਈਨਡ”; LPM_PIPELINE : ਕੁਦਰਤੀ := 0; LPM_TYPE: ਸਤਰ := L_COMPARE; LPM_HINT : ਸਤਰ := "ਅਣਵਰਤਿਆ"); ਪੋਰਟ (DATAA: in std_logic_vector(LPM_WIDTH-1 downto 0); DATAB: in std_logic_vector(LPM_WIDTH-1 downto 0); ACLR: std_logic ਵਿੱਚ := '0'; CLOCK: in std_logic := '0'; CLKEN : std_logic ਵਿੱਚ := '1'; AGB : out std_logic; AGEB : out std_logic; AEB : out std_logic; ANEB : out std_logic; ALB : out std_logic; ALEB : out std_logic); ਅੰਤ ਭਾਗ;
6.4 VHDL LIBRARY_USE ਘੋਸ਼ਣਾ ਪੱਤਰ
ਜੇਕਰ ਤੁਸੀਂ VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋ ਤਾਂ VHDL ਲਾਇਬ੍ਰੇਰੀ-ਯੂਜ਼ ਘੋਸ਼ਣਾ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
ਲਾਇਬ੍ਰੇਰੀ ਐਲਪੀਐਮ; lpm.lpm_components.all ਦੀ ਵਰਤੋਂ ਕਰੋ;
6.5. ਬੰਦਰਗਾਹਾਂ
ਹੇਠ ਲਿਖੀਆਂ ਸਾਰਣੀਆਂ LMP_COMPARE IP ਕੋਰ ਲਈ ਇਨਪੁਟ ਅਤੇ ਆਉਟਪੁੱਟ ਪੋਰਟਾਂ ਦੀ ਸੂਚੀ ਦਿੰਦੀਆਂ ਹਨ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 27
6. LPM_COMPARE (ਤੁਲਨਾਕਾਰ) 683490 | 2020.10.05
ਸਾਰਣੀ 18. LPM_COMPARE IP ਕੋਰ ਇਨਪੁਟ ਪੋਰਟ
ਪੋਰਟ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਡਾਟਾ[]
ਹਾਂ
ਡਾਟਾ ਇੰਪੁੱਟ। ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ LPM_WIDTH ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
ਡਾਟਾਬ[]
ਹਾਂ
ਡਾਟਾ ਇੰਪੁੱਟ। ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ LPM_WIDTH ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
ਘੜੀ
ਨੰ
ਪਾਈਪਲਾਈਨ ਵਰਤੋਂ ਲਈ ਘੜੀ ਇਨਪੁੱਟ। ਘੜੀ ਪੋਰਟ ਪਾਈਪਲਾਈਨ ਲਈ ਘੜੀ ਇੰਪੁੱਟ ਪ੍ਰਦਾਨ ਕਰਦੀ ਹੈ
ਕਾਰਵਾਈ 0 (ਡਿਫੌਲਟ) ਤੋਂ ਇਲਾਵਾ LPM_PIPELINE ਮੁੱਲਾਂ ਲਈ, ਕਲਾਕ ਪੋਰਟ ਹੋਣਾ ਲਾਜ਼ਮੀ ਹੈ
ਸਮਰੱਥ
clken
ਨੰ
ਪਾਈਪਲਾਈਨ ਵਰਤੋਂ ਲਈ ਘੜੀ ਯੋਗ। ਜਦੋਂ ਕਲੇਨ ਪੋਰਟ ਨੂੰ ਉੱਚਾ ਮੰਨਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ
ਤੁਲਨਾ ਕਾਰਵਾਈ ਹੁੰਦੀ ਹੈ. ਜਦੋਂ ਸਿਗਨਲ ਘੱਟ ਹੁੰਦਾ ਹੈ, ਕੋਈ ਓਪਰੇਸ਼ਨ ਨਹੀਂ ਹੁੰਦਾ। ਜੇ
ਛੱਡਿਆ ਗਿਆ, ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 1 ਹੈ।
aclr
ਨੰ
ਪਾਈਪਲਾਈਨਡ ਵਰਤੋਂ ਲਈ ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ। ਪਾਈਪਲਾਈਨ ਇੱਕ ਪਰਿਭਾਸ਼ਿਤ (X) ਤਰਕ ਨੂੰ ਸ਼ੁਰੂ ਕਰਦੀ ਹੈ
ਪੱਧਰ। ਏਸੀਐਲਆਰ ਪੋਰਟ ਨੂੰ ਕਿਸੇ ਵੀ ਸਮੇਂ ਪਾਈਪਲਾਈਨ ਨੂੰ ਸਾਰੇ 0s ਤੇ ਰੀਸੈਟ ਕਰਨ ਲਈ ਵਰਤਿਆ ਜਾ ਸਕਦਾ ਹੈ,
ਘੜੀ ਸਿਗਨਲ ਲਈ ਅਸਿੰਕਰੋਨਸ।
ਸਾਰਣੀ 19. LPM_COMPARE IP ਕੋਰ ਆਉਟਪੁੱਟ ਪੋਰਟ
ਪੋਰਟ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਐਲਬ
ਨੰ
ਤੁਲਨਾਕਾਰ ਲਈ ਆਉਟਪੁੱਟ ਪੋਰਟ। ਜੇਕਰ ਇਨਪੁਟ A ਇਨਪੁਟ B ਤੋਂ ਘੱਟ ਹੈ ਤਾਂ ਦਾਅਵਾ ਕੀਤਾ ਗਿਆ।
ਏਈਬੀ
ਨੰ
ਤੁਲਨਾਕਾਰ ਲਈ ਆਉਟਪੁੱਟ ਪੋਰਟ। ਜੇਕਰ ਇਨਪੁਟ A ਇਨਪੁਟ B ਦੇ ਬਰਾਬਰ ਹੈ ਤਾਂ ਦਾਅਵਾ ਕੀਤਾ ਗਿਆ।
ਏਜੀਬੀ
ਨੰ
ਤੁਲਨਾਕਾਰ ਲਈ ਆਉਟਪੁੱਟ ਪੋਰਟ। ਜੇਕਰ ਇਨਪੁਟ A ਇਨਪੁਟ B ਤੋਂ ਵੱਡਾ ਹੈ ਤਾਂ ਦਾਅਵਾ ਕੀਤਾ ਗਿਆ।
ਉਮਰ
ਨੰ
ਤੁਲਨਾਕਾਰ ਲਈ ਆਉਟਪੁੱਟ ਪੋਰਟ। ਇੰਪੁੱਟ A ਇੰਪੁੱਟ ਤੋਂ ਵੱਡਾ ਜਾਂ ਬਰਾਬਰ ਹੋਣ 'ਤੇ ਜ਼ੋਰ ਦਿੱਤਾ ਗਿਆ
B.
ਅਨੇਬ
ਨੰ
ਤੁਲਨਾਕਾਰ ਲਈ ਆਉਟਪੁੱਟ ਪੋਰਟ। ਜੇਕਰ ਇਨਪੁਟ A ਇਨਪੁਟ B ਦੇ ਬਰਾਬਰ ਨਹੀਂ ਹੈ ਤਾਂ ਜ਼ੋਰ ਦਿੱਤਾ ਗਿਆ।
ਅਲੇਬ
ਨੰ
ਤੁਲਨਾਕਾਰ ਲਈ ਆਉਟਪੁੱਟ ਪੋਰਟ। ਜੇਕਰ ਇਨਪੁਟ A ਇਨਪੁਟ B ਤੋਂ ਘੱਟ ਜਾਂ ਬਰਾਬਰ ਹੈ ਤਾਂ ਦਾਅਵਾ ਕੀਤਾ ਗਿਆ ਹੈ।
6.6. ਪੈਰਾਮੀਟਰ
ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ LPM_COMPARE IP ਕੋਰ ਲਈ ਮਾਪਦੰਡਾਂ ਨੂੰ ਸੂਚੀਬੱਧ ਕਰਦੀ ਹੈ।
ਸਾਰਣੀ 20. LPM_COMPARE IP ਕੋਰ ਪੈਰਾਮੀਟਰ
ਪੈਰਾਮੀਟਰ ਦਾ ਨਾਮ
ਟਾਈਪ ਕਰੋ
ਲੋੜੀਂਦਾ ਹੈ
LPM_WIDTH
ਪੂਰਨ ਅੰਕ ਹਾਂ
LPM_REPRESENTATION
ਸਤਰ
ਨੰ
LPM_PIPELINE
ਪੂਰਨ ਅੰਕ ਸੰ
LPM_HINT
ਸਤਰ
ਨੰ
ਵਰਣਨ
dataa[] ਅਤੇ datab[] ਪੋਰਟਾਂ ਦੀ ਚੌੜਾਈ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ਕੀਤੀ ਗਈ ਤੁਲਨਾ ਦੀ ਕਿਸਮ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਮੁੱਲ ਹਸਤਾਖਰਿਤ ਅਤੇ ਹਸਤਾਖਰਿਤ ਹਨ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ ਅਣ-ਹਸਤਾਖਰਿਤ ਹੁੰਦਾ ਹੈ। ਜਦੋਂ ਇਹ ਪੈਰਾਮੀਟਰ ਮੁੱਲ SIGNED 'ਤੇ ਸੈੱਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਤੁਲਨਾਕਾਰ ਡੇਟਾ ਇੰਪੁੱਟ ਨੂੰ ਹਸਤਾਖਰਿਤ ਦੋ ਦੇ ਪੂਰਕ ਵਜੋਂ ਵਿਆਖਿਆ ਕਰਦਾ ਹੈ।
alb, aeb, agb, ageb, aleb, ਜਾਂ aneb ਆਉਟਪੁੱਟ ਨਾਲ ਸੰਬੰਧਿਤ ਲੇਟੈਂਸੀ ਦੇ ਘੜੀ ਚੱਕਰਾਂ ਦੀ ਸੰਖਿਆ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਜ਼ੀਰੋ (0) ਦਾ ਮੁੱਲ ਦਰਸਾਉਂਦਾ ਹੈ ਕਿ ਕੋਈ ਲੇਟੈਂਸੀ ਮੌਜੂਦ ਨਹੀਂ ਹੈ, ਅਤੇ ਇਹ ਕਿ ਇੱਕ ਪੂਰੀ ਤਰ੍ਹਾਂ ਸੰਯੋਜਨਕ ਫੰਕਸ਼ਨ ਸ਼ੁਰੂ ਕੀਤਾ ਜਾਵੇਗਾ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 0 (ਗੈਰ ਪਾਈਪਲਾਈਨ) ਹੁੰਦਾ ਹੈ।
ਤੁਹਾਨੂੰ VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ Intel-ਵਿਸ਼ੇਸ਼ ਮਾਪਦੰਡ ਨਿਰਧਾਰਤ ਕਰਨ ਦੀ ਇਜਾਜ਼ਤ ਦਿੰਦਾ ਹੈ files (.vhd)। ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ ਅਣਵਰਤਿਆ ਹੈ।
ਜਾਰੀ…
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 28
ਫੀਡਬੈਕ ਭੇਜੋ
6. LPM_COMPARE (ਤੁਲਨਾਕਾਰ) 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ ਨਾਮ LPM_TYPE INTENDED_DEVICE_FAMILY
ONE_INPUT_IS_CONSTANT
ਸਟ੍ਰਿੰਗ ਸਤਰ ਟਾਈਪ ਕਰੋ
ਸਤਰ
ਲੋੜੀਂਦਾ ਨਹੀਂ ਨੰ
ਨੰ
ਵਰਣਨ
VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਪੈਰਾਮੀਟਰਾਈਜ਼ਡ ਮੋਡੀਊਲ (LPM) ਇਕਾਈ ਨਾਮ ਦੀ ਲਾਇਬ੍ਰੇਰੀ ਦੀ ਪਛਾਣ ਕਰਦਾ ਹੈ files.
ਇਹ ਪੈਰਾਮੀਟਰ ਮਾਡਲਿੰਗ ਅਤੇ ਵਿਹਾਰਕ ਸਿਮੂਲੇਸ਼ਨ ਉਦੇਸ਼ਾਂ ਲਈ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ। ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਇਸ ਪੈਰਾਮੀਟਰ ਲਈ ਮੁੱਲ ਦੀ ਗਣਨਾ ਕਰਦਾ ਹੈ।
Intel-ਵਿਸ਼ੇਸ਼ ਪੈਰਾਮੀਟਰ। VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ONE_INPUT_IS_CONSTANT ਪੈਰਾਮੀਟਰ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ LPM_HINT ਪੈਰਾਮੀਟਰ ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ fileਐੱਸ. ਮੁੱਲ ਹਾਂ, ਨਹੀਂ, ਜਾਂ ਅਣਵਰਤੇ ਹਨ। ਜੇਕਰ ਕੋਈ ਇਨਪੁਟ ਸਥਿਰ ਹੈ ਤਾਂ ਵੱਧ ਅਨੁਕੂਲਤਾ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ NO ਹੁੰਦਾ ਹੈ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 29
683490 | 2020.10.05 ਫੀਡਬੈਕ ਭੇਜੋ
7. ALTECC (ਗਲਤੀ ਸੁਧਾਰ ਕੋਡ: ਏਨਕੋਡਰ/ਡੀਕੋਡਰ) IP ਕੋਰ
ਚਿੱਤਰ 6.
Intel ECC ਕਾਰਜਕੁਸ਼ਲਤਾ ਨੂੰ ਲਾਗੂ ਕਰਨ ਲਈ ALTECC IP ਕੋਰ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ। ECC ਖਰਾਬ ਡੇਟਾ ਦਾ ਪਤਾ ਲਗਾਉਂਦਾ ਹੈ ਜੋ ਡੇਟਾ ਸੰਚਾਰ ਦੌਰਾਨ ਪ੍ਰਾਪਤ ਕਰਨ ਵਾਲੇ ਪਾਸੇ ਹੁੰਦਾ ਹੈ। ਇਹ ਗਲਤੀ ਸੁਧਾਰ ਵਿਧੀ ਉਹਨਾਂ ਸਥਿਤੀਆਂ ਲਈ ਸਭ ਤੋਂ ਅਨੁਕੂਲ ਹੈ ਜਿੱਥੇ ਗਲਤੀਆਂ ਬਰਸਟ ਦੀ ਬਜਾਏ ਬੇਤਰਤੀਬੇ ਹੁੰਦੀਆਂ ਹਨ।
ECC ਡੇਟਾ ਏਨਕੋਡਿੰਗ ਅਤੇ ਡੀਕੋਡਿੰਗ ਦੀ ਪ੍ਰਕਿਰਿਆ ਦੁਆਰਾ ਗਲਤੀਆਂ ਦਾ ਪਤਾ ਲਗਾਉਂਦਾ ਹੈ। ਸਾਬਕਾ ਲਈample, ਜਦੋਂ ECC ਨੂੰ ਇੱਕ ਟ੍ਰਾਂਸਮਿਸ਼ਨ ਐਪਲੀਕੇਸ਼ਨ ਵਿੱਚ ਲਾਗੂ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਸਰੋਤ ਤੋਂ ਪੜ੍ਹਿਆ ਗਿਆ ਡੇਟਾ ਪ੍ਰਾਪਤਕਰਤਾ ਨੂੰ ਭੇਜਣ ਤੋਂ ਪਹਿਲਾਂ ਏਨਕੋਡ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। ਏਨਕੋਡਰ ਤੋਂ ਆਉਟਪੁੱਟ (ਕੋਡ ਸ਼ਬਦ) ਵਿੱਚ ਸਮਾਨਤਾ ਬਿੱਟਾਂ ਦੀ ਸੰਖਿਆ ਦੇ ਨਾਲ ਜੋੜਿਆ ਗਿਆ ਕੱਚਾ ਡੇਟਾ ਹੁੰਦਾ ਹੈ। ਜੋੜੀਆਂ ਗਈਆਂ ਬਰਾਬਰੀ ਬਿੱਟਾਂ ਦੀ ਸਹੀ ਸੰਖਿਆ ਇਨਪੁਟ ਡੇਟਾ ਵਿੱਚ ਬਿੱਟਾਂ ਦੀ ਸੰਖਿਆ 'ਤੇ ਨਿਰਭਰ ਕਰਦੀ ਹੈ। ਤਿਆਰ ਕੀਤਾ ਕੋਡ ਸ਼ਬਦ ਫਿਰ ਮੰਜ਼ਿਲ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਪ੍ਰਾਪਤਕਰਤਾ ਕੋਡ ਸ਼ਬਦ ਪ੍ਰਾਪਤ ਕਰਦਾ ਹੈ ਅਤੇ ਇਸਨੂੰ ਡੀਕੋਡ ਕਰਦਾ ਹੈ। ਡੀਕੋਡਰ ਦੁਆਰਾ ਪ੍ਰਾਪਤ ਕੀਤੀ ਜਾਣਕਾਰੀ ਇਹ ਨਿਰਧਾਰਤ ਕਰਦੀ ਹੈ ਕਿ ਕੀ ਕੋਈ ਗਲਤੀ ਖੋਜੀ ਗਈ ਹੈ। ਡੀਕੋਡਰ ਸਿੰਗਲ-ਬਿੱਟ ਅਤੇ ਡਬਲ-ਬਿਟ ਤਰੁਟੀਆਂ ਦਾ ਪਤਾ ਲਗਾਉਂਦਾ ਹੈ, ਪਰ ਖਰਾਬ ਡੇਟਾ ਵਿੱਚ ਸਿਰਫ਼ ਸਿੰਗਲ-ਬਿੱਟ ਤਰੁੱਟੀਆਂ ਨੂੰ ਠੀਕ ਕਰ ਸਕਦਾ ਹੈ। ਇਸ ਕਿਸਮ ਦਾ ECC ਸਿੰਗਲ ਐਰਰ ਸੁਧਾਰ ਡਬਲ ਐਰਰ ਡਿਟੈਕਸ਼ਨ (SECDED) ਹੈ।
ਤੁਸੀਂ ALTECC IP ਕੋਰ ਦੇ ਏਨਕੋਡਰ ਅਤੇ ਡੀਕੋਡਰ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰ ਸਕਦੇ ਹੋ। ਏਨਕੋਡਰ ਲਈ ਡੇਟਾ ਇੰਪੁੱਟ ਨੂੰ ਇੱਕ ਕੋਡ ਸ਼ਬਦ ਬਣਾਉਣ ਲਈ ਏਨਕੋਡ ਕੀਤਾ ਗਿਆ ਹੈ ਜੋ ਡੇਟਾ ਇਨਪੁਟ ਅਤੇ ਤਿਆਰ ਕੀਤੇ ਸਮਾਨਤਾ ਬਿੱਟਾਂ ਦਾ ਸੁਮੇਲ ਹੈ। ਤਿਆਰ ਕੀਤੇ ਕੋਡ ਸ਼ਬਦ ਨੂੰ ਇਸਦੇ ਮੰਜ਼ਿਲ ਬਲਾਕ ਤੱਕ ਪਹੁੰਚਣ ਤੋਂ ਪਹਿਲਾਂ ਡੀਕੋਡਿੰਗ ਲਈ ਡੀਕੋਡਰ ਮੋਡੀਊਲ ਵਿੱਚ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। ਡੀਕੋਡਰ ਇਹ ਪਤਾ ਲਗਾਉਣ ਲਈ ਇੱਕ ਸਿੰਡਰੋਮ ਵੈਕਟਰ ਬਣਾਉਂਦਾ ਹੈ ਕਿ ਕੀ ਪ੍ਰਾਪਤ ਹੋਏ ਕੋਡ ਸ਼ਬਦ ਵਿੱਚ ਕੋਈ ਗਲਤੀ ਹੈ। ਡੀਕੋਡਰ ਡੇਟਾ ਨੂੰ ਕੇਵਲ ਤਾਂ ਹੀ ਠੀਕ ਕਰਦਾ ਹੈ ਜੇਕਰ ਸਿੰਗਲ-ਬਿੱਟ ਗਲਤੀ ਡੇਟਾ ਬਿੱਟਾਂ ਤੋਂ ਹੈ। ਕੋਈ ਸਿਗਨਲ ਫਲੈਗ ਨਹੀਂ ਕੀਤਾ ਜਾਂਦਾ ਹੈ ਜੇਕਰ ਸਿੰਗਲ-ਬਿੱਟ ਗਲਤੀ ਸਮਾਨਤਾ ਬਿੱਟਾਂ ਤੋਂ ਹੈ। ਡੀਕੋਡਰ ਵਿੱਚ ਪ੍ਰਾਪਤ ਕੀਤੇ ਡੇਟਾ ਦੀ ਸਥਿਤੀ ਅਤੇ ਡੀਕੋਡਰ ਦੁਆਰਾ ਕੀਤੀ ਗਈ ਕਾਰਵਾਈ, ਜੇਕਰ ਕੋਈ ਹੈ, ਨੂੰ ਦਿਖਾਉਣ ਲਈ ਫਲੈਗ ਸਿਗਨਲ ਵੀ ਹੁੰਦੇ ਹਨ।
ਹੇਠਾਂ ਦਿੱਤੇ ਅੰਕੜੇ ALTECC IP ਕੋਰ ਲਈ ਪੋਰਟ ਦਿਖਾਉਂਦੇ ਹਨ।
ALTECC ਏਨਕੋਡਰ ਪੋਰਟਸ
ALTECC_ENCODER
ਡਾਟਾ[]
ਕਿਊ[]
ਘੜੀ
ਘੜੀ
aclr
inst
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਲਈ ਵਾਰੰਟ ਦਿੰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਅਰਜ਼ੀ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ISO 9001:2015 ਰਜਿਸਟਰਡ
7. ALTECC (ਗਲਤੀ ਸੁਧਾਰ ਕੋਡ: ਏਨਕੋਡਰ/ਡੀਕੋਡਰ) IP ਕੋਰ 683490 | 2020.10.05
ਚਿੱਤਰ 7. ALTECC ਡੀਕੋਡਰ ਪੋਰਟਸ
ALTECC_DECODER
ਡਾਟਾ[] ਘੜੀ ਘੜੀ
q[] err_detected err_corrected
ਗਲਤੀ_ਘਾਤਕ
aclr
inst
7.1 ALTECC ਏਨਕੋਡਰ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ
ALTECC ਏਨਕੋਡਰ IP ਕੋਰ ਹੇਠ ਲਿਖੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੀ ਪੇਸ਼ਕਸ਼ ਕਰਦਾ ਹੈ: · ਹੈਮਿੰਗ ਕੋਡਿੰਗ ਸਕੀਮ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ ਡੇਟਾ ਏਨਕੋਡਿੰਗ ਕਰਦਾ ਹੈ · 2 ਬਿੱਟਾਂ ਦੀ ਚੌੜਾਈ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਹਸਤਾਖਰਿਤ ਅਤੇ ਹਸਤਾਖਰਿਤ ਡੇਟਾ ਪ੍ਰਸਤੁਤੀ ਫਾਰਮੈਟ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਇੱਕ ਜਾਂ ਦੋ ਘੜੀ ਚੱਕਰਾਂ ਦੀ ਆਉਟਪੁੱਟ ਲੇਟੈਂਸੀ ਨਾਲ ਪਾਈਪਲਾਈਨਿੰਗ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਵਿਕਲਪਿਕ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ ਅਸਿੰਕਰੋਨਸ ਕਲੀਅਰ ਅਤੇ ਕਲਾਕ ਸਮਰੱਥ ਪੋਰਟ
ALTECC ਏਨਕੋਡਰ IP ਕੋਰ ਹੈਮਿੰਗ ਕੋਡਿੰਗ ਸਕੀਮ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਡੇਟਾ ਨੂੰ ਅੰਦਰ ਲੈਂਦਾ ਹੈ ਅਤੇ ਏਨਕੋਡ ਕਰਦਾ ਹੈ। ਹੈਮਿੰਗ ਕੋਡਿੰਗ ਸਕੀਮ ਸਮਾਨਤਾ ਬਿੱਟਾਂ ਨੂੰ ਪ੍ਰਾਪਤ ਕਰਦੀ ਹੈ ਅਤੇ ਆਉਟਪੁੱਟ ਕੋਡ ਸ਼ਬਦ ਬਣਾਉਣ ਲਈ ਉਹਨਾਂ ਨੂੰ ਮੂਲ ਡੇਟਾ ਵਿੱਚ ਜੋੜਦੀ ਹੈ। ਜੋੜੀਆਂ ਗਈਆਂ ਸਮਾਨਤਾ ਬਿੱਟਾਂ ਦੀ ਗਿਣਤੀ ਡੇਟਾ ਦੀ ਚੌੜਾਈ 'ਤੇ ਨਿਰਭਰ ਕਰਦੀ ਹੈ।
ਹੇਠਾਂ ਦਿੱਤੀ ਸਾਰਣੀ ਡੇਟਾ ਚੌੜਾਈ ਦੀਆਂ ਵੱਖ-ਵੱਖ ਰੇਂਜਾਂ ਲਈ ਜੋੜੀਆਂ ਗਈਆਂ ਸਮਾਨਤਾ ਬਿੱਟਾਂ ਦੀ ਸੰਖਿਆ ਨੂੰ ਸੂਚੀਬੱਧ ਕਰਦੀ ਹੈ। ਕੁੱਲ ਬਿੱਟ ਕਾਲਮ ਇਨਪੁਟ ਡੇਟਾ ਬਿੱਟਾਂ ਦੀ ਕੁੱਲ ਸੰਖਿਆ ਅਤੇ ਜੋੜੀ ਗਈ ਸਮਾਨਤਾ ਬਿੱਟਾਂ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।
ਸਾਰਣੀ 21.
ਡੇਟਾ ਚੌੜਾਈ ਦੇ ਅਨੁਸਾਰ ਪੈਰਿਟੀ ਬਿੱਟ ਅਤੇ ਕੋਡ ਵਰਡ ਦੀ ਸੰਖਿਆ
ਡਾਟਾ ਚੌੜਾਈ
ਸਮਾਨਤਾ ਬਿੱਟਾਂ ਦੀ ਸੰਖਿਆ
ਕੁੱਲ ਬਿੱਟ (ਕੋਡ ਵਰਡ)
2-4
3+1
6-8
5-11
4+1
10-16
12-26
5+1
18-32
27-57
6+1
34-64
58-64
7+1
66-72
ਪੈਰਿਟੀ ਬਿੱਟ ਡੈਰੀਵੇਸ਼ਨ ਇੱਕ ਸਮਾਨ-ਪੈਰਿਟੀ ਜਾਂਚ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ। ਵਾਧੂ 1 ਬਿੱਟ (ਸਾਰਣੀ ਵਿੱਚ +1 ਦੇ ਰੂਪ ਵਿੱਚ ਦਿਖਾਇਆ ਗਿਆ ਹੈ) ਨੂੰ ਕੋਡ ਸ਼ਬਦ ਦੇ MSB ਵਜੋਂ ਸਮਾਨਤਾ ਬਿੱਟਾਂ ਵਿੱਚ ਜੋੜਿਆ ਗਿਆ ਹੈ। ਇਹ ਯਕੀਨੀ ਬਣਾਉਂਦਾ ਹੈ ਕਿ ਕੋਡ ਸ਼ਬਦ ਵਿੱਚ 1 ਦੀ ਇੱਕ ਬਰਾਬਰ ਸੰਖਿਆ ਹੈ। ਸਾਬਕਾ ਲਈample, ਜੇਕਰ ਡੇਟਾ ਦੀ ਚੌੜਾਈ 4 ਬਿੱਟ ਹੈ, ਤਾਂ ਕੁੱਲ 4 ਬਿੱਟਾਂ ਦੇ ਨਾਲ ਕੋਡ ਵਰਡ ਬਣਨ ਲਈ ਡੇਟਾ ਵਿੱਚ 8 ਪੈਰਿਟੀ ਬਿੱਟ ਜੋੜ ਦਿੱਤੇ ਜਾਂਦੇ ਹਨ। ਜੇਕਰ 7-ਬਿੱਟ ਕੋਡ ਸ਼ਬਦ ਦੇ LSB ਤੋਂ 8 ਬਿੱਟਾਂ ਵਿੱਚ 1 ਦੀ ਵਿਜੋੜ ਸੰਖਿਆ ਹੈ, ਤਾਂ ਕੋਡ ਸ਼ਬਦ ਦਾ 8ਵਾਂ ਬਿੱਟ (MSB) 1 ਹੈ ਜੋ ਕੋਡ ਸ਼ਬਦ ਵਿੱਚ ਕੁੱਲ 1 ਦੀ ਸੰਖਿਆ ਨੂੰ ਬਰਾਬਰ ਬਣਾਉਂਦਾ ਹੈ।
ਹੇਠਾਂ ਦਿੱਤਾ ਚਿੱਤਰ 8-ਬਿੱਟ ਡੇਟਾ ਇਨਪੁਟ ਵਿੱਚ ਤਿਆਰ ਕੀਤੇ ਕੋਡ ਸ਼ਬਦ ਅਤੇ ਸਮਾਨਤਾ ਬਿੱਟਾਂ ਅਤੇ ਡੇਟਾ ਬਿੱਟਾਂ ਦੀ ਵਿਵਸਥਾ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 31
7. ALTECC (ਗਲਤੀ ਸੁਧਾਰ ਕੋਡ: ਏਨਕੋਡਰ/ਡੀਕੋਡਰ) IP ਕੋਰ 683490 | 2020.10.05
ਚਿੱਤਰ 8.
8-ਬਿੱਟ ਜਨਰੇਟ ਕੀਤੇ ਕੋਡ ਵਰਡ ਵਿੱਚ ਪੈਰੀਟੀ ਬਿੱਟਸ ਅਤੇ ਡੇਟਾ ਬਿਟਸ ਦੀ ਵਿਵਸਥਾ
ਐਮਐਸਬੀ
ਐਲ.ਐਸ.ਬੀ
4 ਸਮਾਨਤਾ ਬਿੱਟ
4 ਡਾਟਾ ਬਿੱਟ
8
1
ALTECC ਏਨਕੋਡਰ IP ਕੋਰ ਇੱਕ ਸਮੇਂ ਵਿੱਚ ਸਿਰਫ 2 ਤੋਂ 64 ਬਿੱਟਾਂ ਦੀ ਇਨਪੁਟ ਚੌੜਾਈ ਨੂੰ ਸਵੀਕਾਰ ਕਰਦਾ ਹੈ। 12 ਬਿੱਟ, 29 ਬਿੱਟ ਅਤੇ 64 ਬਿੱਟਾਂ ਦੀ ਇਨਪੁਟ ਚੌੜਾਈ, ਜੋ ਕਿ Intel ਡਿਵਾਈਸਾਂ ਲਈ ਆਦਰਸ਼ਕ ਤੌਰ 'ਤੇ ਅਨੁਕੂਲ ਹਨ, ਕ੍ਰਮਵਾਰ 18 ਬਿੱਟ, 36 ਬਿੱਟ ਅਤੇ 72 ਬਿੱਟਾਂ ਦੇ ਆਉਟਪੁੱਟ ਤਿਆਰ ਕਰਦੇ ਹਨ। ਤੁਸੀਂ ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਵਿੱਚ ਬਿੱਟ-ਸਿਲੈਕਸ਼ਨ ਸੀਮਾ ਨੂੰ ਨਿਯੰਤਰਿਤ ਕਰ ਸਕਦੇ ਹੋ।
7.2 Verilog HDL ਪ੍ਰੋਟੋਟਾਈਪ (ALTECC_ENCODER)
ਨਿਮਨਲਿਖਤ ਵੇਰੀਲੋਗ ਐਚਡੀਐਲ ਪ੍ਰੋਟੋਟਾਈਪ ਵੇਰੀਲੌਗ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.v) lpm.v ਵਿੱਚ edasynthesis ਡਾਇਰੈਕਟਰੀ.
ਮੋਡੀਊਲ altecc_encoder #( ਪੈਰਾਮੀਟਰ intended_device_family = “unused”, ਪੈਰਾਮੀਟਰ lpm_pipeline = 0, ਪੈਰਾਮੀਟਰ width_codeword = 8, ਪੈਰਾਮੀਟਰ width_dataword = 8, ਪੈਰਾਮੀਟਰ lpm_type = “altecc_encoder”, ਪੈਰਾਮੀਟਰ lpm_type = “altecc_encoder”, lock unputed in c-hint, “wpum_hint” ਵਿੱਚ ਪੈਰਾਮੀਟਰ. ਵਾਇਰ ਕਲਾਕਨ, ਇਨਪੁਟ ਵਾਇਰ [width_dataword-1:0] ਡਾਟਾ, ਆਊਟਪੁੱਟ ਵਾਇਰ [width_codeword-1:0] q); endmodule
7.3 ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ (ALTECC_DECODER)
ਨਿਮਨਲਿਖਤ ਵੇਰੀਲੋਗ ਐਚਡੀਐਲ ਪ੍ਰੋਟੋਟਾਈਪ ਵੇਰੀਲੌਗ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.v) lpm.v ਵਿੱਚ edasynthesis ਡਾਇਰੈਕਟਰੀ.
ਮੋਡੀਊਲ altecc_decoder #( ਪੈਰਾਮੀਟਰ intended_device_family = “unused”, ਪੈਰਾਮੀਟਰ lpm_pipeline = 0, ਪੈਰਾਮੀਟਰ width_codeword = 8, ਪੈਰਾਮੀਟਰ width_dataword = 8, ਪੈਰਾਮੀਟਰ lpm_type = “altecc_decoder”, ਪੈਰਾਮੀਟਰ lpm_type = “c. ਵਾਇਰ ਕਲਾਕਨ, ਇਨਪੁਟ ਵਾਇਰ [width_codeword-1:0] ਡਾਟਾ, ਆਉਟਪੁੱਟ ਵਾਇਰ err_corrected, output wire err_detected, outut wire err_fatal, output wire [width_dataword-1:0] q); endmodule
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 32
ਫੀਡਬੈਕ ਭੇਜੋ
7. ALTECC (ਗਲਤੀ ਸੁਧਾਰ ਕੋਡ: ਏਨਕੋਡਰ/ਡੀਕੋਡਰ) IP ਕੋਰ 683490 | 2020.10.05
7.4 VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ (ALTECC_ENCODER)
VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.vhd) ਵਿੱਚ altera_mf_components.vhd librariesvhdlaltera_mf ਡਾਇਰੈਕਟਰੀ.
ਕੰਪੋਨੈਂਟ altecc_encoder generic ( intended_device_family:string := "unused"; lpm_pipeline:natural := 0; width_codeword:natural := 8; width_dataword:natural := 8; lpm_hint:string":= "UN_cc_PM ”); port( aclr: in std_logic := '0'; clock: in std_logic := '0'; clocken: in std_logic := '1'; ਡਾਟਾ: std_logic_vector (width_dataword-1 down to 0); q:out std_logic_vector(width_codeword) -1 ਹੇਠਾਂ 0)); ਅੰਤ ਭਾਗ;
7.5 VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ (ALTECC_DECODER)
VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.vhd) ਵਿੱਚ altera_mf_components.vhd librariesvhdlaltera_mf ਡਾਇਰੈਕਟਰੀ.
ਕੰਪੋਨੈਂਟ altecc_decoder ਜੈਨਰਿਕ ( intended_device_family:string := "unused"; lpm_pipeline:natural := 0; width_codeword:natural := 8; width_dataword:natural := 8; lpm_hint:string" := "UN_cc_depm ”); ਪੋਰਟ( aclr: in std_logic := '0'; ਘੜੀ: std_logic ਵਿੱਚ := '0'; ਘੜੀ: std_logic ਵਿੱਚ := '1'; ਡੇਟਾ: std_logic_vector ਵਿੱਚ(width_codeword-1 down to 0); err_corrected : out std_logic; edr_det : out std_logic; q: out std_logic_vector(width_dataword-1 down to 0); syn_e : out std_logic); ਅੰਤ ਭਾਗ;
7.6 VHDL LIBRARY_USE ਘੋਸ਼ਣਾ ਪੱਤਰ
ਜੇਕਰ ਤੁਸੀਂ VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋ ਤਾਂ VHDL ਲਾਇਬ੍ਰੇਰੀ-ਯੂਜ਼ ਘੋਸ਼ਣਾ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
ਲਾਇਬ੍ਰੇਰੀ altera_mf; altera_mf.altera_mf_components.all ਦੀ ਵਰਤੋਂ ਕਰੋ;
7.7 ਏਨਕੋਡਰ ਪੋਰਟ
ਹੇਠਾਂ ਦਿੱਤੀਆਂ ਟੇਬਲਾਂ ਵਿੱਚ ALTECC ਏਨਕੋਡਰ IP ਕੋਰ ਲਈ ਇਨਪੁਟ ਅਤੇ ਆਉਟਪੁੱਟ ਪੋਰਟਾਂ ਦੀ ਸੂਚੀ ਹੈ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 33
7. ALTECC (ਗਲਤੀ ਸੁਧਾਰ ਕੋਡ: ਏਨਕੋਡਰ/ਡੀਕੋਡਰ) IP ਕੋਰ 683490 | 2020.10.05
ਸਾਰਣੀ 22. ALTECC ਏਨਕੋਡਰ ਇਨਪੁਟ ਪੋਰਟਸ
ਪੋਰਟ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਡਾਟਾ[]
ਹਾਂ
ਡਾਟਾ ਇੰਪੁੱਟ ਪੋਰਟ। ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ WIDTH_DATAWORD 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ
ਪੈਰਾਮੀਟਰ ਮੁੱਲ. ਡੇਟਾ[] ਪੋਰਟ ਵਿੱਚ ਏਨਕੋਡ ਕਰਨ ਲਈ ਕੱਚਾ ਡੇਟਾ ਸ਼ਾਮਲ ਹੁੰਦਾ ਹੈ।
ਘੜੀ
ਹਾਂ
ਘੜੀ ਇਨਪੁਟ ਪੋਰਟ ਜੋ ਏਨਕੋਡਿੰਗ ਓਪਰੇਸ਼ਨ ਨੂੰ ਸਮਕਾਲੀ ਕਰਨ ਲਈ ਘੜੀ ਸਿਗਨਲ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
ਜਦੋਂ LPM_PIPELINE ਮੁੱਲ 0 ਤੋਂ ਵੱਧ ਹੋਵੇ ਤਾਂ ਘੜੀ ਪੋਰਟ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ।
ਘੜੀ
ਨੰ
ਘੜੀ ਚਾਲੂ ਕਰੋ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 1 ਹੁੰਦਾ ਹੈ।
aclr
ਨੰ
ਅਸਿੰਕ੍ਰੋਨਸ ਸਾਫ਼ ਇੰਪੁੱਟ। ਸਰਗਰਮ ਉੱਚ aclr ਸਿਗਨਲ ਨੂੰ ਕਿਸੇ ਵੀ ਸਮੇਂ ਵਰਤਿਆ ਜਾ ਸਕਦਾ ਹੈ
ਅਸਿੰਕ੍ਰੋਨਸ ਤੌਰ 'ਤੇ ਰਜਿਸਟਰਾਂ ਨੂੰ ਸਾਫ਼ ਕਰੋ।
ਸਾਰਣੀ 23. ALTECC ਏਨਕੋਡਰ ਆਉਟਪੁੱਟ ਪੋਰਟਸ
ਪੋਰਟ ਨਾਮ q[]
ਲੋੜੀਂਦਾ ਹਾਂ
ਵਰਣਨ
ਏਨਕੋਡਡ ਡੇਟਾ ਆਉਟਪੁੱਟ ਪੋਰਟ। ਆਉਟਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ WIDTH_CODEWORD ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
7.8 ਡੀਕੋਡਰ ਪੋਰਟਸ
ਹੇਠਾਂ ਦਿੱਤੀਆਂ ਟੇਬਲਾਂ ਵਿੱਚ ALTECC ਡੀਕੋਡਰ IP ਕੋਰ ਲਈ ਇਨਪੁਟ ਅਤੇ ਆਉਟਪੁੱਟ ਪੋਰਟਾਂ ਦੀ ਸੂਚੀ ਹੈ।
ਸਾਰਣੀ 24. ALTECC ਡੀਕੋਡਰ ਇਨਪੁਟ ਪੋਰਟਸ
ਪੋਰਟ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਡਾਟਾ[]
ਹਾਂ
ਡਾਟਾ ਇੰਪੁੱਟ ਪੋਰਟ। ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ WIDTH_CODEWORD ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
ਘੜੀ
ਹਾਂ
ਘੜੀ ਇਨਪੁਟ ਪੋਰਟ ਜੋ ਏਨਕੋਡਿੰਗ ਓਪਰੇਸ਼ਨ ਨੂੰ ਸਮਕਾਲੀ ਕਰਨ ਲਈ ਘੜੀ ਸਿਗਨਲ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ LPM_PIPELINE ਮੁੱਲ 0 ਤੋਂ ਵੱਧ ਹੋਵੇ ਤਾਂ ਘੜੀ ਪੋਰਟ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ।
ਘੜੀ
ਨੰ
ਘੜੀ ਚਾਲੂ ਕਰੋ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 1 ਹੁੰਦਾ ਹੈ।
aclr
ਨੰ
ਅਸਿੰਕ੍ਰੋਨਸ ਸਾਫ਼ ਇੰਪੁੱਟ। ਐਕਟਿਵ ਹਾਈ ਏਸੀਐਲਆਰ ਸਿਗਨਲ ਨੂੰ ਕਿਸੇ ਵੀ ਸਮੇਂ ਰਜਿਸਟਰਾਂ ਨੂੰ ਅਸਿੰਕਰੋਨਸ ਤੌਰ 'ਤੇ ਸਾਫ਼ ਕਰਨ ਲਈ ਵਰਤਿਆ ਜਾ ਸਕਦਾ ਹੈ।
ਸਾਰਣੀ 25. ALTECC ਡੀਕੋਡਰ ਆਉਟਪੁੱਟ ਪੋਰਟਸ
ਪੋਰਟ ਨਾਮ q[]
ਲੋੜੀਂਦਾ ਹਾਂ
ਵਰਣਨ
ਡੀਕੋਡ ਕੀਤਾ ਡਾਟਾ ਆਉਟਪੁੱਟ ਪੋਰਟ. ਆਉਟਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ WIDTH_DATAWORD ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
err_detected ਹਾਂ
ਪ੍ਰਾਪਤ ਕੀਤੇ ਡੇਟਾ ਦੀ ਸਥਿਤੀ ਨੂੰ ਦਰਸਾਉਣ ਲਈ ਫਲੈਗ ਸਿਗਨਲ ਅਤੇ ਲੱਭੀਆਂ ਗਈਆਂ ਕਿਸੇ ਵੀ ਤਰੁੱਟੀਆਂ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।
err_correcte ਹਾਂ d
ਪ੍ਰਾਪਤ ਕੀਤੇ ਡੇਟਾ ਦੀ ਸਥਿਤੀ ਨੂੰ ਦਰਸਾਉਣ ਲਈ ਫਲੈਗ ਸਿਗਨਲ। ਲੱਭੀ ਅਤੇ ਠੀਕ ਕੀਤੀ ਸਿੰਗਲ-ਬਿਟ ਗਲਤੀ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ। ਤੁਸੀਂ ਡੇਟਾ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ ਕਿਉਂਕਿ ਇਹ ਪਹਿਲਾਂ ਹੀ ਠੀਕ ਕੀਤਾ ਜਾ ਚੁੱਕਾ ਹੈ।
ਗਲਤੀ_ਘਾਤਕ
ਹਾਂ
ਪ੍ਰਾਪਤ ਕੀਤੇ ਡੇਟਾ ਦੀ ਸਥਿਤੀ ਨੂੰ ਦਰਸਾਉਣ ਲਈ ਫਲੈਗ ਸਿਗਨਲ। ਮਿਲੀ ਡਬਲ-ਬਿਟ ਗਲਤੀ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ, ਪਰ ਠੀਕ ਨਹੀਂ ਕੀਤਾ ਗਿਆ। ਜੇਕਰ ਇਹ ਸੰਕੇਤ ਦਿੱਤਾ ਗਿਆ ਹੈ ਤਾਂ ਤੁਹਾਨੂੰ ਡੇਟਾ ਦੀ ਵਰਤੋਂ ਨਹੀਂ ਕਰਨੀ ਚਾਹੀਦੀ।
syn_e
ਨੰ
ਇੱਕ ਆਉਟਪੁੱਟ ਸਿਗਨਲ ਜੋ ਕਿ ਉੱਚ ਪੱਧਰ 'ਤੇ ਜਾਵੇਗਾ ਜਦੋਂ ਵੀ ਬਰਾਬਰੀ 'ਤੇ ਇੱਕ ਸਿੰਗਲ-ਬਿਟ ਗਲਤੀ ਦਾ ਪਤਾ ਲਗਾਇਆ ਜਾਂਦਾ ਹੈ
ਬਿੱਟ.
7.9 ਏਨਕੋਡਰ ਪੈਰਾਮੀਟਰ
ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ ALTECC ਏਨਕੋਡਰ IP ਕੋਰ ਲਈ ਮਾਪਦੰਡਾਂ ਨੂੰ ਸੂਚੀਬੱਧ ਕਰਦੀ ਹੈ।
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 34
ਫੀਡਬੈਕ ਭੇਜੋ
7. ALTECC (ਗਲਤੀ ਸੁਧਾਰ ਕੋਡ: ਏਨਕੋਡਰ/ਡੀਕੋਡਰ) IP ਕੋਰ 683490 | 2020.10.05
ਸਾਰਣੀ 26. ALTECC ਏਨਕੋਡਰ ਪੈਰਾਮੀਟਰ
ਪੈਰਾਮੀਟਰ ਦਾ ਨਾਮ
ਟਾਈਪ ਕਰੋ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
WIDTH_DATAWORD
ਪੂਰਨ ਅੰਕ ਹਾਂ
ਕੱਚੇ ਡੇਟਾ ਦੀ ਚੌੜਾਈ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਮੁੱਲ 2 ਤੋਂ 64 ਤੱਕ ਹਨ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 8 ਹੁੰਦਾ ਹੈ।
WIDTH_CODEWORD
ਪੂਰਨ ਅੰਕ ਹਾਂ
ਸੰਬੰਧਿਤ ਕੋਡ ਸ਼ਬਦ ਦੀ ਚੌੜਾਈ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਵੈਧ ਮੁੱਲ 6 ਤੋਂ 72 ਤੱਕ ਹਨ, 9, 17, 33 ਅਤੇ 65 ਨੂੰ ਛੱਡ ਕੇ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 13 ਹੁੰਦਾ ਹੈ।
LPM_PIPELINE
ਪੂਰਨ ਅੰਕ ਸੰ
ਸਰਕਟ ਲਈ ਪਾਈਪਲਾਈਨ ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ। ਮੁੱਲ 0 ਤੋਂ 2 ਤੱਕ ਹਨ। ਜੇਕਰ ਮੁੱਲ 0 ਹੈ, ਤਾਂ ਪੋਰਟ ਰਜਿਸਟਰਡ ਨਹੀਂ ਹਨ। ਜੇਕਰ ਮੁੱਲ 1 ਹੈ, ਤਾਂ ਆਉਟਪੁੱਟ ਪੋਰਟ ਰਜਿਸਟਰਡ ਹਨ। ਜੇਕਰ ਮੁੱਲ 2 ਹੈ, ਤਾਂ ਇੰਪੁੱਟ ਅਤੇ ਆਉਟਪੁੱਟ ਪੋਰਟ ਰਜਿਸਟਰਡ ਹਨ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 0 ਹੁੰਦਾ ਹੈ।
7.10 ਡੀਕੋਡਰ ਪੈਰਾਮੀਟਰ
ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ ਵਿੱਚ ALTECC ਡੀਕੋਡਰ IP ਕੋਰ ਪੈਰਾਮੀਟਰਾਂ ਦੀ ਸੂਚੀ ਹੈ।
ਸਾਰਣੀ 27. ALTECC ਡੀਕੋਡਰ ਪੈਰਾਮੀਟਰ
ਪੈਰਾਮੀਟਰ ਨਾਮ WIDTH_DATAWORD
ਪੂਰਨ ਅੰਕ ਟਾਈਪ ਕਰੋ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਹਾਂ
ਕੱਚੇ ਡੇਟਾ ਦੀ ਚੌੜਾਈ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਮੁੱਲ 2 ਤੋਂ 64 ਹਨ
ਮੂਲ ਮੁੱਲ 8 ਹੈ।
WIDTH_CODEWORD
ਪੂਰਨ ਅੰਕ
ਹਾਂ
ਸੰਬੰਧਿਤ ਕੋਡ ਸ਼ਬਦ ਦੀ ਚੌੜਾਈ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਮੁੱਲ 6 ਹਨ
72 ਤੱਕ, 9, 17, 33, ਅਤੇ 65 ਨੂੰ ਛੱਡ ਕੇ। ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਮੂਲ ਮੁੱਲ
13 ਹੈ।
LPM_PIPELINE
ਪੂਰਨ ਅੰਕ
ਨੰ
ਸਰਕਟ ਦੇ ਰਜਿਸਟਰ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ। ਮੁੱਲ 0 ਤੋਂ 2 ਤੱਕ ਹਨ। ਜੇਕਰ
ਮੁੱਲ 0 ਹੈ, ਕੋਈ ਰਜਿਸਟਰ ਲਾਗੂ ਨਹੀਂ ਕੀਤਾ ਗਿਆ ਹੈ। ਜੇਕਰ ਮੁੱਲ 1 ਹੈ, ਤਾਂ
ਆਉਟਪੁੱਟ ਰਜਿਸਟਰਡ ਹੈ। ਜੇਕਰ ਮੁੱਲ 2 ਹੈ, ਤਾਂ ਇੰਪੁੱਟ ਅਤੇ
ਆਉਟਪੁੱਟ ਰਜਿਸਟਰਡ ਹਨ. ਜੇਕਰ ਮੁੱਲ 2 ਤੋਂ ਵੱਧ ਹੈ, ਵਾਧੂ
ਰਜਿਸਟਰਾਂ ਨੂੰ ਵਾਧੂ ਲਈ ਆਉਟਪੁੱਟ 'ਤੇ ਲਾਗੂ ਕੀਤਾ ਜਾਂਦਾ ਹੈ
ਲੇਟੈਂਸੀਜ਼ ਜੇਕਰ ਛੱਡਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 0 ਹੁੰਦਾ ਹੈ।
ਇੱਕ 'syn_e' ਪੋਰਟ ਬਣਾਓ
ਪੂਰਨ ਅੰਕ
ਨੰ
ਇੱਕ syn_e ਪੋਰਟ ਬਣਾਉਣ ਲਈ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਚਾਲੂ ਕਰੋ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 35
683490 | 2020.10.05 ਫੀਡਬੈਕ ਭੇਜੋ
8. Intel FPGA ਗੁਣਾ ਐਡਰ IP ਕੋਰ
ਚਿੱਤਰ 9.
Intel FPGA ਮਲਟੀਪਲਾਇ ਐਡਰ (Intel Stratix 10, Intel Arria 10, ਅਤੇ Intel Cyclone 10 GX ਡਿਵਾਈਸਾਂ) ਜਾਂ ALTERA_MULT_ADD (Arria V, Stratix V, ਅਤੇ Cyclone V ਡਿਵਾਈਸਾਂ) IP ਕੋਰ ਤੁਹਾਨੂੰ ਮਲਟੀਪਲੇਅਰ-ਐਡਰ ਨੂੰ ਲਾਗੂ ਕਰਨ ਦੀ ਇਜਾਜ਼ਤ ਦਿੰਦਾ ਹੈ।
ਹੇਠਾਂ ਦਿੱਤਾ ਚਿੱਤਰ Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ ਜਾਂ ALTERA_MULT_ADD IP ਕੋਰ ਲਈ ਪੋਰਟਾਂ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।
Intel FPGA ਗੁਣਾ ਐਡਰ ਜਾਂ ALTERA_MULT_ADD ਪੋਰਟਸ
Intel FPGA ਗੁਣਾ ਐਡਰ ਜਾਂ ALTERA_MULT_ADD
dataa[] signa datab[] signb datac[] coefsel0[] coefsel1[] coefsel2[] coefsel3[] addnsub1 addnsub3 aclr/sclr[] scanina[] clock0 clock1 clock2 ena0 ena1 ena2 sload_accum
accum_sload chainin[]
ਸਕੈਨੌਟਾ[] ਨਤੀਜਾ[]
aclr0 aclr1
inst
ਇੱਕ ਗੁਣਕ-ਯੋਜਕ ਇਨਪੁਟਸ ਦੇ ਜੋੜਿਆਂ ਨੂੰ ਸਵੀਕਾਰ ਕਰਦਾ ਹੈ, ਮੁੱਲਾਂ ਨੂੰ ਇਕੱਠੇ ਗੁਣਾ ਕਰਦਾ ਹੈ ਅਤੇ ਫਿਰ ਬਾਕੀ ਸਾਰੇ ਜੋੜਿਆਂ ਦੇ ਉਤਪਾਦਾਂ ਵਿੱਚ ਜੋੜਦਾ ਜਾਂ ਘਟਾਉਂਦਾ ਹੈ।
ਜੇਕਰ ਸਾਰੇ ਇਨਪੁਟ ਡੇਟਾ ਚੌੜਾਈ 9-ਬਿੱਟ ਚੌੜੀਆਂ ਜਾਂ ਛੋਟੀਆਂ ਹਨ, ਤਾਂ ਫੰਕਸ਼ਨ 9 x 9 ਸੰਰਚਨਾ ਦਾ ਸਮਰਥਨ ਕਰਨ ਵਾਲੇ ਡਿਵਾਈਸਾਂ ਲਈ DSP ਬਲਾਕ ਵਿੱਚ 9 x 9 ਬਿੱਟ ਇਨਪੁਟ ਮਲਟੀਪਲੇਅਰ ਕੌਂਫਿਗਰੇਸ਼ਨ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ। ਜੇ ਨਹੀਂ, ਤਾਂ ਡੀਐਸਪੀ ਬਲਾਕ 18 ਬਿੱਟ ਅਤੇ 18 ਬਿੱਟਾਂ ਦੇ ਵਿਚਕਾਰ ਚੌੜਾਈ ਵਾਲੇ ਡੇਟਾ ਦੀ ਪ੍ਰਕਿਰਿਆ ਕਰਨ ਲਈ 10 × 18-ਬਿੱਟ ਇਨਪੁਟ ਮਲਟੀਪਲੇਅਰ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ। ਜੇਕਰ ਮਲਟੀਪਲ Intel FPGA ਮਲਟੀਪਲਾਇ ਐਡਰ ਜਾਂ ALTERA_MULT_ADD IP ਕੋਰ ਇੱਕ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਹੁੰਦੇ ਹਨ, ਤਾਂ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਇਸ ਤਰ੍ਹਾਂ ਵੰਡਿਆ ਜਾਂਦਾ ਹੈ
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਲਈ ਵਾਰੰਟ ਦਿੰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਅਰਜ਼ੀ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ISO 9001:2015 ਰਜਿਸਟਰਡ
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਸੰਭਵ ਤੌਰ 'ਤੇ ਬਹੁਤ ਸਾਰੇ ਵੱਖ-ਵੱਖ DSP ਬਲਾਕ ਤਾਂ ਜੋ ਇਹਨਾਂ ਬਲਾਕਾਂ ਲਈ ਰੂਟਿੰਗ ਵਧੇਰੇ ਲਚਕਦਾਰ ਹੋਵੇ। ਡੀਐਸਪੀ ਬਲਾਕ ਪ੍ਰਤੀ ਘੱਟ ਗੁਣਕ ਬਾਕੀ ਡਿਵਾਈਸ ਦੇ ਮਾਰਗਾਂ ਨੂੰ ਘੱਟ ਕਰਕੇ ਬਲਾਕ ਵਿੱਚ ਹੋਰ ਰੂਟਿੰਗ ਵਿਕਲਪਾਂ ਦੀ ਆਗਿਆ ਦਿੰਦੇ ਹਨ।
ਹੇਠਾਂ ਦਿੱਤੇ ਸਿਗਨਲਾਂ ਲਈ ਰਜਿਸਟਰ ਅਤੇ ਵਾਧੂ ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਵੀ ਡੀਐਸਪੀ ਬਲਾਕ ਦੇ ਅੰਦਰ ਰੱਖੇ ਗਏ ਹਨ: · ਡੇਟਾ ਇਨਪੁਟ · ਹਸਤਾਖਰਿਤ ਜਾਂ ਹਸਤਾਖਰਿਤ ਚੋਣ · ਜੋੜੋ ਜਾਂ ਘਟਾਓ ਚੁਣੋ · ਗੁਣਕ ਦੇ ਉਤਪਾਦ
ਆਉਟਪੁੱਟ ਨਤੀਜੇ ਦੇ ਮਾਮਲੇ ਵਿੱਚ, ਪਹਿਲਾ ਰਜਿਸਟਰ ਡੀਐਸਪੀ ਬਲਾਕ ਵਿੱਚ ਰੱਖਿਆ ਗਿਆ ਹੈ. ਹਾਲਾਂਕਿ ਵਾਧੂ ਲੇਟੈਂਸੀ ਰਜਿਸਟਰ ਬਲਾਕ ਦੇ ਬਾਹਰ ਤਰਕ ਤੱਤਾਂ ਵਿੱਚ ਰੱਖੇ ਗਏ ਹਨ। ਡੀਐਸਪੀ ਬਲਾਕ ਲਈ ਪੈਰੀਫਿਰਲ, ਗੁਣਕ ਲਈ ਡੇਟਾ ਇਨਪੁਟਸ, ਨਿਯੰਤਰਣ ਸਿਗਨਲ ਇਨਪੁਟਸ, ਅਤੇ ਐਡਰ ਦੇ ਆਉਟਪੁੱਟ ਸਮੇਤ, ਬਾਕੀ ਡਿਵਾਈਸ ਨਾਲ ਸੰਚਾਰ ਕਰਨ ਲਈ ਨਿਯਮਤ ਰੂਟਿੰਗ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹਨ। ਫੰਕਸ਼ਨ ਵਿੱਚ ਸਾਰੇ ਕਨੈਕਸ਼ਨ ਡੀਐਸਪੀ ਬਲਾਕ ਦੇ ਅੰਦਰ ਸਮਰਪਿਤ ਰੂਟਿੰਗ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹਨ। ਇਸ ਸਮਰਪਿਤ ਰੂਟਿੰਗ ਵਿੱਚ ਸ਼ਿਫਟ ਰਜਿਸਟਰ ਚੇਨ ਸ਼ਾਮਲ ਹੁੰਦੀ ਹੈ ਜਦੋਂ ਤੁਸੀਂ ਇੱਕ ਗੁਣਕ ਦੇ ਰਜਿਸਟਰਡ ਇਨਪੁਟ ਡੇਟਾ ਨੂੰ ਇੱਕ ਗੁਣਕ ਤੋਂ ਇੱਕ ਨੇੜਲੇ ਗੁਣਕ ਵਿੱਚ ਸ਼ਿਫਟ ਕਰਨ ਦਾ ਵਿਕਲਪ ਚੁਣਦੇ ਹੋ।
ਕਿਸੇ ਵੀ ਸਟ੍ਰੈਟਿਕਸ V, ਅਤੇ ਅਰਰੀਆ V ਡਿਵਾਈਸ ਸੀਰੀਜ਼ ਵਿੱਚ DSP ਬਲਾਕਾਂ ਬਾਰੇ ਵਧੇਰੇ ਜਾਣਕਾਰੀ ਲਈ, ਸਾਹਿਤ ਅਤੇ ਤਕਨੀਕੀ ਦਸਤਾਵੇਜ਼ ਪੰਨੇ 'ਤੇ ਸੰਬੰਧਿਤ ਹੈਂਡਬੁੱਕਾਂ ਦੇ DSP ਬਲਾਕਾਂ ਦੇ ਅਧਿਆਏ ਨੂੰ ਵੇਖੋ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ AN 306: FPGA ਡਿਵਾਈਸਾਂ ਵਿੱਚ ਗੁਣਕ ਲਾਗੂ ਕਰਨਾ
Intel FPGA ਡਿਵਾਈਸਾਂ ਵਿੱਚ DSP ਅਤੇ ਮੈਮੋਰੀ ਬਲਾਕਾਂ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ ਮਲਟੀਪਲੇਅਰਾਂ ਨੂੰ ਲਾਗੂ ਕਰਨ ਬਾਰੇ ਹੋਰ ਜਾਣਕਾਰੀ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
8.1 ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ
Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ ਜਾਂ ALTERA_MULT_ADD IP ਕੋਰ ਹੇਠ ਲਿਖੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੀ ਪੇਸ਼ਕਸ਼ ਕਰਦਾ ਹੈ: · ਦੋ ਕੰਪਲੈਕਸਾਂ ਦੇ ਗੁਣਾ ਕਾਰਜ ਕਰਨ ਲਈ ਇੱਕ ਗੁਣਕ ਬਣਾਉਂਦਾ ਹੈ
ਨੰਬਰ ਨੋਟ: ਜਦੋਂ ਮੂਲ ਸਮਰਥਿਤ ਆਕਾਰ ਤੋਂ ਵੱਡੇ ਗੁਣਕ ਬਣਾਉਂਦੇ ਹਨ ਤਾਂ ਹੋ ਸਕਦਾ ਹੈ/
ਡੀਐਸਪੀ ਬਲਾਕਾਂ ਦੇ ਕੈਸਕੇਡਿੰਗ ਦੇ ਨਤੀਜੇ ਵਜੋਂ ਇੱਕ ਪ੍ਰਦਰਸ਼ਨ ਪ੍ਰਭਾਵ ਹੋਵੇਗਾ। · 1 256 ਬਿੱਟ ਦੇ ਡੇਟਾ ਚੌੜਾਈ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਦਸਤਖਤ ਕੀਤੇ ਅਤੇ ਹਸਤਾਖਰਿਤ ਡੇਟਾ ਪ੍ਰਸਤੁਤੀ ਫਾਰਮੈਟ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਸੰਰਚਨਾਯੋਗ ਇਨਪੁਟ ਲੇਟੈਂਸੀ ਦੇ ਨਾਲ ਪਾਈਪਲਾਈਨਿੰਗ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਦਸਤਖਤ ਕੀਤੇ ਅਤੇ ਹਸਤਾਖਰਿਤ ਡੇਟਾ ਸਮਰਥਨ ਵਿਚਕਾਰ ਗਤੀਸ਼ੀਲ ਤੌਰ 'ਤੇ ਸਵਿਚ ਕਰਨ ਲਈ ਇੱਕ ਵਿਕਲਪ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ। ਵਿਕਲਪਿਕ ਅਸਿੰਕ੍ਰੋਨਸ ਅਤੇ ਸਮਕਾਲੀ ਕਲੀਅਰ ਅਤੇ ਕਲਾਕ ਇਨਪੁਟ ਪੋਰਟਾਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ · ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰ ਮੋਡ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਪ੍ਰਤੀ ਗੁਣਕ 8 ਪ੍ਰੀ-ਲੋਡ ਗੁਣਾਂਕ ਦੇ ਨਾਲ ਪ੍ਰੀ-ਐਡਰ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਸੰਚਤ ਫੀਡਬੈਕ ਨੂੰ ਪੂਰਕ ਕਰਨ ਲਈ ਪ੍ਰੀ-ਲੋਡ ਸਥਿਰ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 37
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
8.1.1. ਪ੍ਰੀ-ਜੋੜਨ ਵਾਲਾ
ਪੂਰਵ-ਯੋਜਕ ਦੇ ਨਾਲ, ਗੁਣਕ ਨੂੰ ਫੀਡ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਜੋੜ ਜਾਂ ਘਟਾਓ ਕੀਤੇ ਜਾਂਦੇ ਹਨ।
ਪੰਜ ਪ੍ਰੀ-ਐਡਰ ਮੋਡ ਹਨ: · ਸਧਾਰਨ ਮੋਡ · ਗੁਣਾਂਕ ਮੋਡ · ਇਨਪੁਟ ਮੋਡ · ਵਰਗ ਮੋਡ · ਸਥਿਰ ਮੋਡ
ਨੋਟ:
ਜਦੋਂ ਪ੍ਰੀ-ਐਡਰ ਦੀ ਵਰਤੋਂ ਕੀਤੀ ਜਾਂਦੀ ਹੈ (ਪ੍ਰੀ-ਐਡਰ ਗੁਣਾਂਕ/ਇਨਪੁਟ/ਵਰਗ ਮੋਡ), ਗੁਣਕ ਲਈ ਸਾਰੇ ਡੇਟਾ ਇਨਪੁੱਟਾਂ ਦੀ ਇੱਕੋ ਘੜੀ ਸੈਟਿੰਗ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ।
੮.੧.੧.੧ । ਪ੍ਰੀ-ਐਡਰ ਸਧਾਰਨ ਮੋਡ
ਇਸ ਮੋਡ ਵਿੱਚ, ਦੋਵੇਂ ਓਪਰੇਂਡ ਇਨਪੁਟ ਪੋਰਟਾਂ ਤੋਂ ਪ੍ਰਾਪਤ ਹੁੰਦੇ ਹਨ ਅਤੇ ਪ੍ਰੀ-ਐਡਰ ਦੀ ਵਰਤੋਂ ਜਾਂ ਬਾਈਪਾਸ ਨਹੀਂ ਕੀਤੀ ਜਾਂਦੀ ਹੈ। ਇਹ ਡਿਫਾਲਟ ਮੋਡ ਹੈ।
ਚਿੱਤਰ 10. ਪ੍ਰੀ-ਐਡਰ ਸਧਾਰਨ ਮੋਡ
ਏ0 ਬੀ0
ਮਲਟੀ0
ਨਤੀਜਾ
੮.੧.੧.੨ । ਪ੍ਰੀ-ਐਡਰ ਗੁਣਾਂਕ ਮੋਡ
ਇਸ ਮੋਡ ਵਿੱਚ, ਇੱਕ ਗੁਣਕ ਓਪਰੇਂਡ ਪ੍ਰੀ-ਐਡਰ ਤੋਂ ਪ੍ਰਾਪਤ ਹੁੰਦਾ ਹੈ, ਅਤੇ ਦੂਜਾ ਓਪਰੇਂਡ ਅੰਦਰੂਨੀ ਗੁਣਾਂਕ ਸਟੋਰੇਜ ਤੋਂ ਪ੍ਰਾਪਤ ਹੁੰਦਾ ਹੈ। ਗੁਣਾਂਕ ਸਟੋਰੇਜ 8 ਪ੍ਰੀ-ਸੈੱਟ ਸਥਿਰਾਂਕਾਂ ਤੱਕ ਦੀ ਆਗਿਆ ਦਿੰਦੀ ਹੈ। ਗੁਣਾਂਕ ਚੋਣ ਸਿਗਨਲ coefsel[0..3] ਹਨ।
ਇਸ ਮੋਡ ਨੂੰ ਹੇਠਾਂ ਦਿੱਤੇ ਸਮੀਕਰਨ ਵਿੱਚ ਦਰਸਾਇਆ ਗਿਆ ਹੈ।
ਹੇਠਾਂ ਇੱਕ ਗੁਣਕ ਦਾ ਪ੍ਰੀ-ਐਡਰ ਗੁਣਾਂਕ ਮੋਡ ਦਿਖਾਉਂਦਾ ਹੈ।
ਚਿੱਤਰ 11. ਪ੍ਰੀ-ਐਡਰ ਗੁਣਾਂਕ ਮੋਡ
ਪ੍ਰੀਡਰ
a0
ਮਲਟੀ0
+/-
ਨਤੀਜਾ
b0
coefsel0 coef
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 38
ਫੀਡਬੈਕ ਭੇਜੋ
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
੮.੧.੧.੩ । ਪ੍ਰੀ-ਐਡਰ ਇਨਪੁਟ ਮੋਡ ਇਸ ਮੋਡ ਵਿੱਚ, ਇੱਕ ਗੁਣਕ ਓਪਰੇਂਡ ਪ੍ਰੀ-ਐਡਰ ਤੋਂ ਪ੍ਰਾਪਤ ਹੁੰਦਾ ਹੈ, ਅਤੇ ਦੂਜਾ ਓਪਰੇਂਡ ਡੇਟਾਕ[] ਇਨਪੁਟ ਪੋਰਟ ਤੋਂ ਪ੍ਰਾਪਤ ਹੁੰਦਾ ਹੈ। ਇਸ ਮੋਡ ਨੂੰ ਹੇਠਾਂ ਦਿੱਤੇ ਸਮੀਕਰਨ ਵਿੱਚ ਦਰਸਾਇਆ ਗਿਆ ਹੈ।
ਹੇਠਾਂ ਇੱਕ ਗੁਣਕ ਦਾ ਪ੍ਰੀ-ਐਡਰ ਇਨਪੁਟ ਮੋਡ ਦਿਖਾਉਂਦਾ ਹੈ।
ਚਿੱਤਰ 12. ਪ੍ਰੀ-ਐਡਰ ਇਨਪੁਟ ਮੋਡ
ਏ0 ਬੀ0
ਮਲਟੀ0
+/-
ਨਤੀਜਾ
c0
੮.੧.੧.੪ । ਪ੍ਰੀ-ਐਡਰ ਵਰਗ ਮੋਡ ਇਸ ਮੋਡ ਨੂੰ ਹੇਠਾਂ ਦਿੱਤੇ ਸਮੀਕਰਨ ਵਿੱਚ ਦਰਸਾਇਆ ਗਿਆ ਹੈ।
ਹੇਠਾਂ ਦੋ ਗੁਣਕ ਦਾ ਪ੍ਰੀ-ਐਡਰ ਵਰਗ ਮੋਡ ਦਿਖਾਉਂਦਾ ਹੈ।
ਚਿੱਤਰ 13. ਪ੍ਰੀ-ਐਡਰ ਵਰਗ ਮੋਡ
ਏ0 ਬੀ0
ਮਲਟੀ0
+/-
ਨਤੀਜਾ
੮.੧.੧.੫ । ਪ੍ਰੀ-ਐਡਰ ਕੰਸਟੈਂਟ ਮੋਡ
ਇਸ ਮੋਡ ਵਿੱਚ, ਇੱਕ ਗੁਣਕ ਓਪਰੇਂਡ ਇਨਪੁਟ ਪੋਰਟ ਤੋਂ ਪ੍ਰਾਪਤ ਹੁੰਦਾ ਹੈ, ਅਤੇ ਦੂਜਾ ਓਪਰੇਂਡ ਅੰਦਰੂਨੀ ਗੁਣਾਂਕ ਸਟੋਰੇਜ ਤੋਂ ਪ੍ਰਾਪਤ ਹੁੰਦਾ ਹੈ। ਗੁਣਾਂਕ ਸਟੋਰੇਜ 8 ਪ੍ਰੀ-ਸੈੱਟ ਸਥਿਰਾਂਕਾਂ ਤੱਕ ਦੀ ਆਗਿਆ ਦਿੰਦੀ ਹੈ। ਗੁਣਾਂਕ ਚੋਣ ਸਿਗਨਲ coefsel[0..3] ਹਨ।
ਇਸ ਮੋਡ ਨੂੰ ਹੇਠਾਂ ਦਿੱਤੇ ਸਮੀਕਰਨ ਵਿੱਚ ਦਰਸਾਇਆ ਗਿਆ ਹੈ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 39
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਹੇਠਲਾ ਚਿੱਤਰ ਗੁਣਕ ਦਾ ਪ੍ਰੀ-ਐਡਰ ਸਥਿਰ ਮੋਡ ਦਿਖਾਉਂਦਾ ਹੈ।
ਚਿੱਤਰ 14. ਪ੍ਰੀ-ਐਡਰ ਕੰਸਟੈਂਟ ਮੋਡ
a0
ਮਲਟੀ0
ਨਤੀਜਾ
coefsel0
ਕੋਫ
8.1.2 ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰ
ਇੱਕ ਸਿਸਟੋਲਿਕ ਆਰਕੀਟੈਕਚਰ ਵਿੱਚ, ਇਨਪੁਟ ਡੇਟਾ ਨੂੰ ਡੇਟਾ ਬਫਰ ਵਜੋਂ ਕੰਮ ਕਰਨ ਵਾਲੇ ਰਜਿਸਟਰਾਂ ਦੇ ਇੱਕ ਕੈਸਕੇਡ ਵਿੱਚ ਫੀਡ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। ਹਰੇਕ ਰਜਿਸਟਰ ਇੱਕ ਇੰਪੁੱਟ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈample ਇੱਕ ਗੁਣਕ ਨੂੰ ਜਿੱਥੇ ਇਸ ਨੂੰ ਸੰਬੰਧਿਤ ਗੁਣਾਂਕ ਨਾਲ ਗੁਣਾ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। ਚੇਨ ਐਡਰ ਅੰਤਮ ਨਤੀਜਾ ਬਣਾਉਣ ਲਈ ਗੁਣਕ ਤੋਂ ਹੌਲੀ-ਹੌਲੀ ਸੰਯੁਕਤ ਨਤੀਜਿਆਂ ਅਤੇ ਚੇਨਿਨ[] ਇਨਪੁਟ ਪੋਰਟ ਤੋਂ ਪਹਿਲਾਂ ਰਜਿਸਟਰ ਕੀਤੇ ਨਤੀਜੇ ਨੂੰ ਸਟੋਰ ਕਰਦਾ ਹੈ। ਹਰੇਕ ਗੁਣਾ-ਜੋੜਨ ਵਾਲੇ ਤੱਤ ਨੂੰ ਇੱਕ ਸਿੰਗਲ ਚੱਕਰ ਦੁਆਰਾ ਦੇਰੀ ਕੀਤੀ ਜਾਣੀ ਚਾਹੀਦੀ ਹੈ ਤਾਂ ਜੋ ਇਕੱਠੇ ਜੋੜਨ 'ਤੇ ਨਤੀਜੇ ਸਹੀ ਢੰਗ ਨਾਲ ਸਮਕਾਲੀ ਹੋ ਜਾਣ। ਹਰੇਕ ਲਗਾਤਾਰ ਦੇਰੀ ਦੀ ਵਰਤੋਂ ਗੁਣਾਂਕ ਮੈਮੋਰੀ ਅਤੇ ਉਹਨਾਂ ਦੇ ਸੰਬੰਧਿਤ ਗੁਣਾ-ਜੋੜ ਤੱਤਾਂ ਦੇ ਡੇਟਾ ਬਫਰ ਦੋਵਾਂ ਨੂੰ ਸੰਬੋਧਿਤ ਕਰਨ ਲਈ ਕੀਤੀ ਜਾਂਦੀ ਹੈ। ਸਾਬਕਾ ਲਈample, ਦੂਜੇ ਗੁਣਾ-ਜੋੜ ਤੱਤ ਲਈ ਇੱਕ ਸਿੰਗਲ ਦੇਰੀ, ਤੀਜੇ ਗੁਣਾ-ਜੋੜ ਤੱਤ ਲਈ ਦੋ ਦੇਰੀ, ਅਤੇ ਇਸ ਤਰ੍ਹਾਂ ਹੋਰ।
ਚਿੱਤਰ 15. ਸਿਸਟੋਲਿਕ ਰਜਿਸਟਰ
ਸਿਸਟੋਲਿਕ ਰਜਿਸਟਰ
x(t) c(0)
ਐਸ -1
ਐਸ -1
ਸੀ(1)
ਐਸ -1
ਐਸ -1
ਸੀ(2)
ਐਸ -1
ਐਸ -1
c(N-1)
ਐਸ -1
ਐਸ -1
ਐਸ -1
S -1 y(t)
x(t) ਇਨਪੁਟ s ਦੀ ਇੱਕ ਨਿਰੰਤਰ ਸਟ੍ਰੀਮ ਤੋਂ ਨਤੀਜਿਆਂ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈamples ਅਤੇ y(t)
ਇੰਪੁੱਟ s ਦੇ ਇੱਕ ਸਮੂਹ ਦੇ ਸਾਰ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈamples, ਅਤੇ ਸਮੇਂ ਦੇ ਨਾਲ, ਉਹਨਾਂ ਦੇ ਨਾਲ ਗੁਣਾ
ਸੰਬੰਧਿਤ ਗੁਣਾਂਕ. ਇਨਪੁਟ ਅਤੇ ਆਉਟਪੁੱਟ ਦੋਵੇਂ ਨਤੀਜੇ ਖੱਬੇ ਤੋਂ ਸੱਜੇ ਵਹਿ ਜਾਂਦੇ ਹਨ। c(0) ਤੋਂ c(N-1) ਗੁਣਾਂਕ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ। ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰਾਂ ਨੂੰ S-1 ਦੁਆਰਾ ਦਰਸਾਇਆ ਜਾਂਦਾ ਹੈ, ਜਦੋਂ ਕਿ 1 ਇੱਕ ਸਿੰਗਲ ਘੜੀ ਦੇਰੀ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ। 'ਤੇ ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰ ਸ਼ਾਮਲ ਕੀਤੇ ਗਏ ਹਨ
ਪਾਈਪਲਾਈਨਿੰਗ ਲਈ ਇਨਪੁਟਸ ਅਤੇ ਆਉਟਪੁੱਟ ਇਸ ਤਰੀਕੇ ਨਾਲ ਜੋ ਕਿ ਤੋਂ ਨਤੀਜਿਆਂ ਨੂੰ ਯਕੀਨੀ ਬਣਾਉਂਦਾ ਹੈ
ਗੁਣਕ ਸੰਚਾਲਨ ਅਤੇ ਸੰਚਿਤ ਰਕਮਾਂ ਸਮਕਾਲੀ ਰਹਿੰਦੀਆਂ ਹਨ। ਇਹ ਪ੍ਰੋਸੈਸਿੰਗ ਤੱਤ
ਨੂੰ ਇੱਕ ਸਰਕਟ ਬਣਾਉਣ ਲਈ ਦੁਹਰਾਇਆ ਜਾਂਦਾ ਹੈ ਜੋ ਫਿਲਟਰਿੰਗ ਫੰਕਸ਼ਨ ਦੀ ਗਣਨਾ ਕਰਦਾ ਹੈ। ਇਹ ਫੰਕਸ਼ਨ ਹੈ
ਹੇਠ ਦਿੱਤੇ ਸਮੀਕਰਨ ਵਿੱਚ ਦਰਸਾਇਆ ਗਿਆ ਹੈ।
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 40
ਫੀਡਬੈਕ ਭੇਜੋ
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
N ਡੇਟਾ ਦੇ ਚੱਕਰਾਂ ਦੀ ਸੰਖਿਆ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ ਜੋ ਸੰਚਤਕਰਤਾ ਵਿੱਚ ਦਾਖਲ ਹੋਇਆ ਹੈ, y(t) ਸਮੇਂ t ਤੇ ਆਉਟਪੁੱਟ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ, A(t) t ਸਮੇਂ ਇੰਪੁੱਟ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ, ਅਤੇ B(i) ਗੁਣਾਂਕ ਹਨ। ਸਮੀਕਰਨ ਵਿੱਚ t ਅਤੇ i ਸਮੇਂ ਦੇ ਇੱਕ ਖਾਸ ਤਤਕਾਲ ਨਾਲ ਮੇਲ ਖਾਂਦੇ ਹਨ, ਇਸਲਈ ਆਉਟਪੁੱਟ s ਦੀ ਗਣਨਾ ਕਰਨ ਲਈample y(t) ਸਮੇਂ t, ਇੰਪੁੱਟ s ਦਾ ਇੱਕ ਸਮੂਹampਸਮੇਂ ਵਿੱਚ N ਵੱਖ-ਵੱਖ ਬਿੰਦੂਆਂ 'ਤੇ les, ਜਾਂ A(n), A(n-1), A(n-2), … A(n-N+1) ਦੀ ਲੋੜ ਹੈ। ਐਨ ਇਨਪੁਟ ਦਾ ਸਮੂਹ ਐੱਸamples ਨੂੰ N ਗੁਣਾਂਕ ਨਾਲ ਗੁਣਾ ਕੀਤਾ ਜਾਂਦਾ ਹੈ ਅਤੇ ਅੰਤਮ ਨਤੀਜਾ y ਬਣਾਉਣ ਲਈ ਇਕੱਠੇ ਜੋੜਿਆ ਜਾਂਦਾ ਹੈ।
ਸਿਸਟੋਲਿਕ ਰਜਿਸਟਰ ਆਰਕੀਟੈਕਚਰ ਸਿਰਫ ਜੋੜ-ਦਾ-2 ਅਤੇ ਜੋੜ-ਦਾ-4 ਮੋਡਾਂ ਲਈ ਉਪਲਬਧ ਹੈ। ਦੋਵੇਂ ਸਿਸਟੋਲਿਕ ਰਜਿਸਟਰ ਆਰਕੀਟੈਕਚਰ ਮੋਡਾਂ ਲਈ, ਪਹਿਲੇ ਚੇਨਿਨ ਸਿਗਨਲ ਨੂੰ 0 ਨਾਲ ਬੰਨ੍ਹਣ ਦੀ ਲੋੜ ਹੈ।
ਹੇਠਾਂ ਦਿੱਤਾ ਚਿੱਤਰ 2 ਗੁਣਕ ਦੇ ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰ ਨੂੰ ਲਾਗੂ ਕਰਦਾ ਹੈ।
ਚਿੱਤਰ 16. 2 ਗੁਣਕ ਦਾ ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰ ਲਾਗੂ ਕਰਨਾ
ਚੇਨਿਨ
a0
ਮਲਟੀ0
+/-
b0
a1
ਮਲਟੀ1
+/-
b1
ਨਤੀਜਾ
ਦੋ ਗੁਣਕ ਦਾ ਜੋੜ ਨਿਮਨਲਿਖਤ ਸਮੀਕਰਨ ਵਿੱਚ ਦਰਸਾਇਆ ਗਿਆ ਹੈ।
ਹੇਠਾਂ ਦਿੱਤਾ ਚਿੱਤਰ 4 ਗੁਣਕ ਦੇ ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰ ਨੂੰ ਲਾਗੂ ਕਰਦਾ ਹੈ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 41
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਚਿੱਤਰ 17. 4 ਗੁਣਕ ਦਾ ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰ ਲਾਗੂ ਕਰਨਾ
ਚੇਨਿਨ
a0
ਮਲਟੀ0
+/-
b0
a1
ਮਲਟੀ1
+/-
b1
a2
ਮਲਟੀ2
+/-
b2
a3
ਮਲਟੀ3
+/-
b3
ਨਤੀਜਾ
ਚਾਰ ਗੁਣਕ ਦਾ ਜੋੜ ਨਿਮਨਲਿਖਤ ਸਮੀਕਰਨ ਵਿੱਚ ਦਰਸਾਇਆ ਗਿਆ ਹੈ। ਚਿੱਤਰ 18. 4 ਗੁਣਕ ਦਾ ਜੋੜ
ਨਿਮਨਲਿਖਤ ਐਡਵਾਂ ਦੀ ਸੂਚੀ ਹੈtagਸਿਸਟੋਲਿਕ ਰਜਿਸਟਰ ਲਾਗੂ ਕਰਨ ਦੇ es: · DSP ਸਰੋਤ ਵਰਤੋਂ ਨੂੰ ਘਟਾਉਂਦਾ ਹੈ · ਚੇਨ ਐਡਰ ਢਾਂਚੇ ਦੀ ਵਰਤੋਂ ਕਰਕੇ DSP ਬਲਾਕ ਵਿੱਚ ਕੁਸ਼ਲ ਮੈਪਿੰਗ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 42
ਫੀਡਬੈਕ ਭੇਜੋ
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
8.1.3 ਪ੍ਰੀ-ਲੋਡ ਸਥਿਰ
ਪ੍ਰੀ-ਲੋਡ ਕੰਸਟੈਂਟ ਐਕਯੂਮੂਲੇਟਰ ਓਪਰੇਂਡ ਨੂੰ ਨਿਯੰਤਰਿਤ ਕਰਦਾ ਹੈ ਅਤੇ ਸੰਚਤ ਫੀਡਬੈਕ ਨੂੰ ਪੂਰਾ ਕਰਦਾ ਹੈ। ਵੈਧ LOADCONST_VALUE ਰੇਂਜ 0 ਤੋਂ ਹੈ। ਸਥਿਰ ਮੁੱਲ 64N ਦੇ ਬਰਾਬਰ ਹੈ, ਜਿੱਥੇ N = LOADCONST_VALUE। ਜਦੋਂ LOADCONST_VALUE ਨੂੰ 2 'ਤੇ ਸੈੱਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਸਥਿਰ ਮੁੱਲ 64 ਦੇ ਬਰਾਬਰ ਹੁੰਦਾ ਹੈ। ਇਸ ਫੰਕਸ਼ਨ ਨੂੰ ਪੱਖਪਾਤੀ ਰਾਊਂਡਿੰਗ ਵਜੋਂ ਵਰਤਿਆ ਜਾ ਸਕਦਾ ਹੈ।
ਨਿਮਨਲਿਖਤ ਚਿੱਤਰ ਪ੍ਰੀ-ਲੋਡ ਸਥਿਰ ਲਾਗੂਕਰਨ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।
ਚਿੱਤਰ 19. ਪ੍ਰੀ-ਲੋਡ ਸਥਿਰ
ਸੰਚਤ ਫੀਡਬੈਕ
ਸਥਿਰ
a0
ਮਲਟੀ0
+/-
b0
a1
ਮਲਟੀ1
+/b1
ਨਤੀਜਾ
accum_sload sload_accum
ਹੋਰ ਗੁਣਕ ਲਾਗੂ ਕਰਨ ਲਈ ਹੇਠਾਂ ਦਿੱਤੇ IP ਕੋਰਾਂ ਨੂੰ ਵੇਖੋ: · ALTMULT_ACCUM · ALTMEMMULT · LPM_MULT
8.1.4 ਡਬਲ ਐਕਯੂਮੂਲੇਟਰ
ਡਬਲ ਐਕਯੂਮੂਲੇਟਰ ਵਿਸ਼ੇਸ਼ਤਾ ਸੰਚਾਈ ਫੀਡਬੈਕ ਮਾਰਗ ਵਿੱਚ ਇੱਕ ਵਾਧੂ ਰਜਿਸਟਰ ਜੋੜਦੀ ਹੈ। ਡਬਲ ਐਕਯੂਮੂਲੇਟਰ ਰਜਿਸਟਰ ਆਉਟਪੁੱਟ ਰਜਿਸਟਰ ਦੀ ਪਾਲਣਾ ਕਰਦਾ ਹੈ, ਜਿਸ ਵਿੱਚ ਘੜੀ, ਘੜੀ ਸਮਰੱਥ, ਅਤੇ aclr ਸ਼ਾਮਲ ਹੁੰਦੇ ਹਨ। ਇੱਕ-ਚੱਕਰ ਦੀ ਦੇਰੀ ਨਾਲ ਵਾਧੂ ਸੰਚਵਕ ਰਜਿਸਟਰ ਨਤੀਜਾ ਦਿੰਦਾ ਹੈ। ਇਹ ਵਿਸ਼ੇਸ਼ਤਾ ਤੁਹਾਨੂੰ ਇੱਕੋ ਸਰੋਤ ਗਿਣਤੀ ਦੇ ਨਾਲ ਦੋ ਸੰਚਤ ਚੈਨਲ ਰੱਖਣ ਦੇ ਯੋਗ ਬਣਾਉਂਦੀ ਹੈ।
ਹੇਠਾਂ ਦਿੱਤਾ ਚਿੱਤਰ ਡਬਲ ਐਕਯੂਮੂਲੇਟਰ ਲਾਗੂ ਕਰਨ ਨੂੰ ਦਿਖਾਉਂਦਾ ਹੈ।
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 43
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਚਿੱਤਰ 20. ਡਬਲ ਐਕਯੂਮੂਲੇਟਰ
Dou ble Accu muulator ਰਜਿਸਟਰ
ਐਕਯੂ ਮੁਲੇਟਰ ਫੀਡਬਾ ਸੀ.ਕੇ
a0
ਮਲਟੀ0
+/-
b0
a1
ਮਲਟੀ1
+/b1
ਆਉਟਪੁੱਟ ਨਤੀਜਾ ਆਉਟਪੁੱਟ ਰਜਿਸਟਰ
8.2 Verilog HDL ਪ੍ਰੋਟੋਟਾਈਪ
ਤੁਸੀਂ Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ ਜਾਂ ALTERA_MULT_ADD ਵੇਰੀਲੌਗ HDL ਪ੍ਰੋਟੋਟਾਈਪ ਲੱਭ ਸਕਦੇ ਹੋ file (altera_mult_add_rtl.v) ਵਿੱਚ librariesmegafunctions ਡਾਇਰੈਕਟਰੀ.
8.3 VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ
VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ ਵਿੱਚ altera_lnsim_components.vhd ਵਿੱਚ ਸਥਿਤ ਹੈ librariesvhdl altera_lnsim ਡਾਇਰੈਕਟਰੀ.
8.4 VHDL LIBRARY_USE ਘੋਸ਼ਣਾ ਪੱਤਰ
ਜੇਕਰ ਤੁਸੀਂ VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋ ਤਾਂ VHDL ਲਾਇਬ੍ਰੇਰੀ-ਯੂਜ਼ ਘੋਸ਼ਣਾ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
ਲਾਇਬ੍ਰੇਰੀ altera_mf; altera_mf.altera_mf_components.all ਦੀ ਵਰਤੋਂ ਕਰੋ;
8.5 ਸਿਗਨਲ
ਹੇਠ ਲਿਖੀਆਂ ਸਾਰਣੀਆਂ ਮਲਟੀਪਲਾਇ ਐਡਰ Intel FPGA IPor ALTERA_MULT_ADD IP ਕੋਰ ਦੇ ਇੰਪੁੱਟ ਅਤੇ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ ਦੀ ਸੂਚੀ ਦਿੰਦੀਆਂ ਹਨ।
ਸਾਰਣੀ 28. ਗੁਣਾ ਐਡਰ Intel FPGA IPor ALTERA_MULT_ADD ਇਨਪੁਟ ਸਿਗਨਲ
ਸਿਗਨਲ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
dataa_0[]/dataa_1[]/
ਹਾਂ
dataa_2[]/dataa_3[]
ਗੁਣਕ ਨੂੰ ਡਾਟਾ ਇੰਪੁੱਟ। ਇਨਪੁਟ ਪੋਰਟ [NUMBER_OF_MULTIPLIERS * WIDTH_A – 1 … 0] ਚੌੜਾ
ਜਾਰੀ…
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 44
ਫੀਡਬੈਕ ਭੇਜੋ
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਸਿਗਨਲ datab_0[]/datab_1[]/ datab_2[]/datab_3[] datac_0[] /datac_1[]/ datac_2[]/datac_3[] ਘੜੀ[1:0] aclr[1:0] sclr[1:0] ena [1:0] ਸੰਕੇਤ
ਚਿੰਨ੍ਹ
ਸਕੈਨੀਨਾ[] accum_sload
ਲੋੜੀਂਦਾ ਹਾਂ ਨਹੀਂ
ਨਹੀਂ ਨਹੀਂ ਨਹੀਂ ਨਹੀਂ ਨਹੀਂ ਨਹੀਂ
ਨੰ
ਨਹੀਂ ਨਹੀਂ
ਵਰਣਨ
ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਗੁਣਕ ਨੂੰ ਡਾਟਾ ਇੰਪੁੱਟ। ਇਨਪੁਟ ਸਿਗਨਲ [NUMBER_OF_MULTIPLIERS * WIDTH_B – 1 … 0] ਚੌੜਾ ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਗੁਣਕ ਨੂੰ ਡਾਟਾ ਇੰਪੁੱਟ। ਇਨਪੁਟ ਸਿਗਨਲ [NUMBER_OF_MULTIPLIERS * WIDTH_C – 1, … 0] ਚੌੜਾ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਸਿਲੈਕਟ ਪ੍ਰੀਡਰ ਮੋਡ ਪੈਰਾਮੀਟਰ ਲਈ INPUT ਦੀ ਚੋਣ ਕਰੋ। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਸੰਬੰਧਿਤ ਰਜਿਸਟਰ ਲਈ ਘੜੀ ਇਨਪੁਟ ਪੋਰਟ। ਇਹ ਸਿਗਨਲ IP ਕੋਰ ਵਿੱਚ ਕਿਸੇ ਵੀ ਰਜਿਸਟਰ ਦੁਆਰਾ ਵਰਤਿਆ ਜਾ ਸਕਦਾ ਹੈ। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਸੰਬੰਧਿਤ ਰਜਿਸਟਰ ਲਈ ਅਸਿੰਕ੍ਰੋਨਸ ਸਪਸ਼ਟ ਇੰਪੁੱਟ। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਸੰਬੰਧਿਤ ਰਜਿਸਟਰ ਲਈ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਲਈ ਨਿਰਧਾਰਿਤ ਇਨਪੁਟ ਮੁੱਲ X ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ
ਸੰਬੰਧਿਤ ਰਜਿਸਟਰ ਵਿੱਚ ਸਿਗਨਲ ਇੰਪੁੱਟ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਗੁਣਕ ਇੰਪੁੱਟ A ਦੀ ਸੰਖਿਆਤਮਕ ਨੁਮਾਇੰਦਗੀ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਜੇਕਰ ਸਿਗਨਲ ਸਿਗਨਲ ਉੱਚਾ ਹੈ, ਤਾਂ ਗੁਣਕ ਗੁਣਕ ਇੰਪੁੱਟ A ਸਿਗਨਲ ਨੂੰ ਇੱਕ ਹਸਤਾਖਰਿਤ ਸੰਖਿਆ ਦੇ ਰੂਪ ਵਿੱਚ ਮੰਨਦਾ ਹੈ। ਜੇਕਰ ਸਿਗਨਲ ਸਿਗਨਲ ਘੱਟ ਹੈ, ਤਾਂ ਗੁਣਕ ਗੁਣਕ ਇੰਪੁੱਟ A ਸਿਗਨਲ ਨੂੰ ਅਣ-ਹਸਤਾਖਰਿਤ ਸੰਖਿਆ ਵਜੋਂ ਮੰਨਦਾ ਹੈ। ਇਸ ਸਿਗਨਲ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਗੁਣਕ A ਇਨਪੁਟਸ ਪੈਰਾਮੀਟਰ ਲਈ ਪ੍ਰਤੀਨਿਧਤਾ ਫਾਰਮੈਟ ਕੀ ਹੈ ਲਈ ਵੇਰੀਏਬਲ ਚੁਣੋ। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਸ ਸਿਗਨਲ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਸ ਇੰਪੁੱਟ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਗੁਣਕ ਇੰਪੁੱਟ ਬੀ ਸਿਗਨਲ ਦੀ ਸੰਖਿਆਤਮਕ ਪ੍ਰਤੀਨਿਧਤਾ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਜੇਕਰ ਸਾਈਨਬ ਸਿਗਨਲ ਉੱਚਾ ਹੈ, ਤਾਂ ਗੁਣਕ ਗੁਣਕ ਇੰਪੁੱਟ ਬੀ ਸਿਗਨਲ ਨੂੰ ਇੱਕ ਸਾਈਨ ਕੀਤੇ ਦੋ ਦੇ ਪੂਰਕ ਸੰਖਿਆ ਦੇ ਰੂਪ ਵਿੱਚ ਮੰਨਦਾ ਹੈ। ਜੇਕਰ ਸਾਈਨਬ ਸਿਗਨਲ ਘੱਟ ਹੈ, ਤਾਂ ਗੁਣਕ ਗੁਣਕ ਇੰਪੁੱਟ ਬੀ ਸਿਗਨਲ ਨੂੰ ਅਣ-ਹਸਤਾਖਰਿਤ ਸੰਖਿਆ ਵਜੋਂ ਮੰਨਦਾ ਹੈ। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਸ ਸਿਗਨਲ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਸ ਇੰਪੁੱਟ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਸਕੈਨ ਚੇਨ A ਲਈ ਇਨਪੁਟ। ਇਨਪੁਟ ਸਿਗਨਲ [WIDTH_A – 1, … 0] ਚੌੜਾ। ਜਦੋਂ INPUT_SOURCE_A ਪੈਰਾਮੀਟਰ ਵਿੱਚ SCANA ਦਾ ਮੁੱਲ ਹੁੰਦਾ ਹੈ, ਤਾਂ ਸਕੈਨੀਨਾ[] ਸਿਗਨਲ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ।
ਡਾਇਨਾਮਿਕ ਤੌਰ 'ਤੇ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ ਕਿ ਕੀ ਸੰਚਤ ਮੁੱਲ ਸਥਿਰ ਹੈ। ਜੇਕਰ accum_sload ਸਿਗਨਲ ਘੱਟ ਹੈ, ਤਾਂ ਗੁਣਕ ਆਉਟਪੁੱਟ ਨੂੰ ਸੰਚਵਕ ਵਿੱਚ ਲੋਡ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। accum_sload ਅਤੇ sload_accum ਦੀ ਇੱਕੋ ਸਮੇਂ ਵਰਤੋਂ ਨਾ ਕਰੋ।
ਜਾਰੀ…
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 45
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਸਿਗਨਲ sload_accum
chainin[] addnsub1
addnsub3
coefsel0[] coefsel1[] coefsel2[] coefsel3[]
ਲੋੜੀਂਦਾ ਨੰ
ਨਹੀਂ ਨਹੀਂ
ਨੰ
ਨਹੀਂ ਨਹੀਂ ਨਹੀਂ ਨਹੀਂ
ਵਰਣਨ
ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਸ ਸਿਗਨਲ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਸ ਇੰਪੁੱਟ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਡਾਇਨਾਮਿਕ ਤੌਰ 'ਤੇ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ ਕਿ ਕੀ ਸੰਚਤ ਮੁੱਲ ਸਥਿਰ ਹੈ। ਜੇਕਰ sload_accum ਸਿਗਨਲ ਉੱਚਾ ਹੈ, ਤਾਂ ਗੁਣਕ ਆਉਟਪੁੱਟ ਨੂੰ ਸੰਚਵਕ ਵਿੱਚ ਲੋਡ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। accum_sload ਅਤੇ sload_accum ਦੀ ਇੱਕੋ ਸਮੇਂ ਵਰਤੋਂ ਨਾ ਕਰੋ। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਸ ਸਿਗਨਲ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਸ ਇੰਪੁੱਟ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਐਡਰ ਨਤੀਜਾ ਇਨਪੁਟ ਬੱਸ ਪਿਛਲੇ ਐੱਸtagਈ. ਇਨਪੁਟ ਸਿਗਨਲ [WIDTH_CHAININ – 1, … 0] ਚੌੜਾ।
ਗੁਣਕ ਦੇ ਪਹਿਲੇ ਜੋੜੇ ਤੋਂ ਆਉਟਪੁੱਟ ਵਿੱਚ ਜੋੜ ਜਾਂ ਘਟਾਓ ਕਰੋ। ਗੁਣਕ ਦੇ ਪਹਿਲੇ ਜੋੜੇ ਤੋਂ ਆਉਟਪੁੱਟ ਜੋੜਨ ਲਈ addnsub1 ਸਿਗਨਲ ਵਿੱਚ 1 ਇਨਪੁਟ ਕਰੋ। ਗੁਣਕ ਦੇ ਪਹਿਲੇ ਜੋੜੇ ਤੋਂ ਆਉਟਪੁੱਟ ਨੂੰ ਘਟਾਉਣ ਲਈ addnsub0 ਸਿਗਨਲ ਵਿੱਚ 1 ਇਨਪੁਟ ਕਰੋ। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਸ ਸਿਗਨਲ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਸ ਇੰਪੁੱਟ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਗੁਣਕ ਦੇ ਪਹਿਲੇ ਜੋੜੇ ਤੋਂ ਆਉਟਪੁੱਟ ਵਿੱਚ ਜੋੜ ਜਾਂ ਘਟਾਓ ਕਰੋ। ਗੁਣਕ ਦੇ ਦੂਜੇ ਜੋੜੇ ਤੋਂ ਆਉਟਪੁੱਟ ਜੋੜਨ ਲਈ addnsub1 ਸਿਗਨਲ ਵਿੱਚ 3 ਇਨਪੁਟ ਕਰੋ। ਗੁਣਕ ਦੇ ਪਹਿਲੇ ਜੋੜੇ ਤੋਂ ਆਉਟਪੁੱਟ ਨੂੰ ਘਟਾਉਣ ਲਈ addnsub0 ਸਿਗਨਲ ਵਿੱਚ 3 ਇਨਪੁਟ ਕਰੋ। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਸ ਸਿਗਨਲ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਸ ਇੰਪੁੱਟ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਗੁਣਾਂਕ ਇੰਪੁੱਟ ਸਿਗਨਲ[0:3] ਪਹਿਲੇ ਗੁਣਕ ਲਈ। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਸ ਸਿਗਨਲ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਸ ਇੰਪੁੱਟ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਦੂਜੇ ਗੁਣਕ ਲਈ ਗੁਣਾਂਕ ਇੰਪੁੱਟ ਸਿਗਨਲ[0:3]। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਸ ਸਿਗਨਲ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਸ ਇੰਪੁੱਟ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਤੀਜੇ ਗੁਣਕ ਲਈ ਗੁਣਾਂਕ ਇਨਪੁਟ ਸਿਗਨਲ[0:3]। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਸ ਸਿਗਨਲ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਸ ਇੰਪੁੱਟ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਚੌਥੇ ਗੁਣਕ ਲਈ ਗੁਣਾਂਕ ਇਨਪੁਟ ਸਿਗਨਲ [0:3]। ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਇਸ ਸਿਗਨਲ ਲਈ ਅਨਿਸ਼ਚਿਤ ਇਨਪੁਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਇਸ ਇੰਪੁੱਟ ਨੂੰ X ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਨੂੰ ਆਉਟਪੁੱਟ ਸਿਗਨਲਾਂ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਟੇਬਲ 29. ਗੁਣਾ ਐਡਰ Intel FPGA IP ਆਉਟਪੁੱਟ ਸਿਗਨਲ
ਸਿਗਨਲ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਨਤੀਜਾ []
ਹਾਂ
ਗੁਣਕ ਆਉਟਪੁੱਟ ਸਿਗਨਲ। ਆਉਟਪੁੱਟ ਸਿਗਨਲ [WIDTH_RESULT – 1 … 0] ਚੌੜਾ
ਇਸ IP ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ ਅਨਿਸ਼ਚਿਤ ਆਉਟਪੁੱਟ ਮੁੱਲ (X) ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ X ਮੁੱਲ ਨੂੰ ਇਨਪੁਟ ਵਜੋਂ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋ, ਤਾਂ X ਮੁੱਲ ਇਸ ਸਿਗਨਲ 'ਤੇ ਫੈਲਾਇਆ ਜਾਂਦਾ ਹੈ।
ਸਕੈਨੌਟਾ []
ਨੰ
ਸਕੈਨ ਚੇਨ A ਦਾ ਆਉਟਪੁੱਟ। ਆਉਟਪੁੱਟ ਸਿਗਨਲ [WIDTH_A – 1..0] ਚੌੜਾ।
ਗੁਣਕ ਦੀ ਸੰਖਿਆ ਲਈ 2 ਤੋਂ ਵੱਧ ਦੀ ਚੋਣ ਕਰੋ ਅਤੇ ਇਸ ਸਿਗਨਲ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਪੈਰਾਮੀਟਰ ਨਾਲ ਜੁੜੇ ਗੁਣਕ ਦਾ ਇੰਪੁੱਟ A ਕੀ ਹੈ ਲਈ ਸਕੈਨ ਚੇਨ ਇਨਪੁਟ ਚੁਣੋ।
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 46
ਫੀਡਬੈਕ ਭੇਜੋ
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
8.6. ਪੈਰਾਮੀਟਰ
8.6.1. ਜਨਰਲ ਟੈਬ
ਸਾਰਣੀ 30. ਆਮ ਟੈਬ
ਪੈਰਾਮੀਟਰ
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਗੁਣਕ ਦੀ ਗਿਣਤੀ ਕੀ ਹੈ?
ਨੰਬਰ_ਦੀ_m 1 – 4 ਅਲਟੀਪਲਾਇਰ
A width_a ਇਨਪੁਟ ਬੱਸਾਂ ਦੀ ਚੌੜਾਈ ਕਿੰਨੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ?
1 - 256
B width_b ਇਨਪੁਟ ਬੱਸਾਂ ਦੀ ਚੌੜਾਈ ਕਿੰਨੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ?
1 - 256
'ਨਤੀਜਾ' ਆਉਟਪੁੱਟ ਬੱਸ ਕਿੰਨੀ ਚੌੜੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ?
ਚੌੜਾਈ_ਨਤੀਜਾ
1 - 256
ਹਰੇਕ ਘੜੀ ਲਈ ਇੱਕ ਸੰਬੰਧਿਤ ਘੜੀ ਬਣਾਓ
gui_associate On d_clock_enbl Off e
8.6.2. ਵਾਧੂ ਮੋਡ ਟੈਬ
ਸਾਰਣੀ 31. ਵਾਧੂ ਮੋਡ ਟੈਬ
ਪੈਰਾਮੀਟਰ
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਆਉਟਪੁੱਟ ਸੰਰਚਨਾ
ਐਡਰ ਯੂਨਿਟ ਦਾ ਆਉਟਪੁੱਟ ਰਜਿਸਟਰ ਕਰੋ
gui_output_re ਚਾਲੂ ਹੈ
ਗਿਸਟਰ
ਬੰਦ
ਘੜੀ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_output_re gister_clock
ਘੜੀ0 ਘੜੀ1 ਘੜੀ 2
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_output_re gister_aclr
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_output_re gister_sclr
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
ਐਡਰ ਓਪਰੇਸ਼ਨ
ਗੁਣਕ ਦੇ ਪਹਿਲੇ ਜੋੜੇ ਦੇ ਆਉਟਪੁੱਟ 'ਤੇ ਕਿਹੜਾ ਓਪਰੇਸ਼ਨ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ?
gui_multiplier 1_direction
AD, SUB, variable
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 1
16
ਵਰਣਨ
ਇਕੱਠੇ ਜੋੜਨ ਲਈ ਗੁਣਕ ਦੀ ਸੰਖਿਆ। ਮੁੱਲ 1 ਤੋਂ 4 ਤੱਕ ਹਨ। ਡੇਟਾ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਨਿਰਧਾਰਤ ਕਰੋ।
16
ਡਾਟਾਬ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਦੱਸੋ।
32
ਨਤੀਜੇ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਦੱਸੋ।
ਬੰਦ
ਘੜੀ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਇਹ ਵਿਕਲਪ ਚੁਣੋ
ਹਰੇਕ ਘੜੀ ਲਈ।
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਵਰਣਨ
ਬੰਦ ਘੜੀ 0
ਕੋਈ ਨਹੀਂ
ਐਡਰ ਮੋਡੀਊਲ ਦੇ ਆਉਟਪੁੱਟ ਰਜਿਸਟਰ ਨੂੰ ਯੋਗ ਕਰਨ ਲਈ ਇਹ ਵਿਕਲਪ ਚੁਣੋ।
ਆਉਟਪੁੱਟ ਰਜਿਸਟਰਾਂ ਲਈ ਘੜੀ ਸਰੋਤ ਨੂੰ ਸਮਰੱਥ ਅਤੇ ਨਿਰਧਾਰਿਤ ਕਰਨ ਲਈ Clock0 , Clock1 ਜਾਂ Clock2 ਚੁਣੋ। ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ ਐਡਰ ਯੂਨਿਟ ਦੇ ਰਜਿਸਟਰ ਆਉਟਪੁੱਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਐਡਰ ਆਉਟਪੁੱਟ ਰਜਿਸਟਰ ਲਈ ਅਸਿੰਕਰੋਨਸ ਕਲੀਅਰ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ ਐਡਰ ਯੂਨਿਟ ਦੇ ਰਜਿਸਟਰ ਆਉਟਪੁੱਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਐਡਰ ਆਉਟਪੁੱਟ ਰਜਿਸਟਰ ਲਈ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ ਐਡਰ ਯੂਨਿਟ ਦੇ ਰਜਿਸਟਰ ਆਉਟਪੁੱਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ADD
ਪਹਿਲੇ ਅਤੇ ਦੂਜੇ ਗੁਣਕ ਦੇ ਵਿਚਕਾਰ ਆਉਟਪੁੱਟ ਲਈ ਪ੍ਰਦਰਸ਼ਨ ਕਰਨ ਲਈ ਜੋੜ ਜਾਂ ਘਟਾਉ ਕਾਰਵਾਈ ਦੀ ਚੋਣ ਕਰੋ।
· ਵਾਧੂ ਕਾਰਵਾਈ ਕਰਨ ਲਈ ADD ਦੀ ਚੋਣ ਕਰੋ।
ਘਟਾਓ ਕਾਰਵਾਈ ਕਰਨ ਲਈ SUB ਦੀ ਚੋਣ ਕਰੋ।
· ਗਤੀਸ਼ੀਲ ਜੋੜ/ਘਟਾਓ ਨਿਯੰਤਰਣ ਲਈ addnsub1 ਪੋਰਟ ਦੀ ਵਰਤੋਂ ਕਰਨ ਲਈ ਵੇਰੀਏਬਲ ਦੀ ਚੋਣ ਕਰੋ।
ਜਾਰੀ…
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 47
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
'addnsub1' ਇਨਪੁਟ ਰਜਿਸਟਰ ਕਰੋ
gui_addnsub_ on multiplier_reg Off ister1
ਘੜੀ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_addnsub_ multiplier_reg ister1_clock
ਘੜੀ0 ਘੜੀ1 ਘੜੀ 2
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_addnsub_ multiplier_aclr 1
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_addnsub_ multiplier_sclr 1
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
ਗੁਣਕ ਦੇ ਦੂਜੇ ਜੋੜੇ ਦੇ ਆਉਟਪੁੱਟ 'ਤੇ ਕਿਹੜਾ ਓਪਰੇਸ਼ਨ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ?
gui_multiplier 3_direction
AD, SUB, variable
'addnsub3' ਇਨਪੁਟ ਰਜਿਸਟਰ ਕਰੋ
gui_addnsub_ on multiplier_reg Off ister3
ਘੜੀ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_addnsub_ multiplier_reg ister3_clock
ਘੜੀ0 ਘੜੀ1 ਘੜੀ 2
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਬੰਦ ਘੜੀ0 ਕੋਈ ਨਹੀਂ ਕੋਈ ਨਹੀਂ ਜੋੜੋ
ਬੰਦ ਘੜੀ 0
ਵਰਣਨ
ਜਦੋਂ VARIABLE ਮੁੱਲ ਚੁਣਿਆ ਜਾਂਦਾ ਹੈ: · addnsub1 ਸਿਗਨਲ ਨੂੰ ਉੱਚ 'ਤੇ ਚਲਾਓ
ਜੋੜ ਕਾਰਵਾਈ. · addnsub1 ਸਿਗਨਲ ਨੂੰ ਘੱਟ ਲਈ ਚਲਾਓ
ਘਟਾਓ ਕਾਰਵਾਈ. ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਦੋ ਤੋਂ ਵੱਧ ਗੁਣਕ ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
addnsub1 ਪੋਰਟ ਲਈ ਇਨਪੁਟ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਇਹ ਵਿਕਲਪ ਚੁਣੋ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਗੁਣਕ ਦੇ ਪਹਿਲੇ ਜੋੜੇ ਦੇ ਆਉਟਪੁੱਟ 'ਤੇ ਕੀ ਓਪਰੇਸ਼ਨ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ ਲਈ ਵੇਰੀਏਬਲ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
addnsub0 ਰਜਿਸਟਰ ਲਈ ਇਨਪੁਟ ਕਲਾਕ ਸਿਗਨਲ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ Clock1 , Clock2 ਜਾਂ Clock1 ਚੁਣੋ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਰਜਿਸਟਰ 'addnsub1' ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
addnsub1 ਰਜਿਸਟਰ ਲਈ ਅਸਿੰਕਰੋਨਸ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਰਜਿਸਟਰ 'addnsub1' ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
addnsub1 ਰਜਿਸਟਰ ਲਈ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਰਜਿਸਟਰ 'addnsub1' ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਤੀਜੇ ਅਤੇ ਚੌਥੇ ਗੁਣਕ ਦੇ ਵਿਚਕਾਰ ਆਉਟਪੁੱਟ ਲਈ ਕਰਨ ਲਈ ਜੋੜ ਜਾਂ ਘਟਾਓ ਦੀ ਕਾਰਵਾਈ ਦੀ ਚੋਣ ਕਰੋ। · ਜੋੜਨ ਲਈ ADD ਚੁਣੋ
ਕਾਰਵਾਈ ਘਟਾਓ ਕਰਨ ਲਈ SUB ਦੀ ਚੋਣ ਕਰੋ
ਕਾਰਵਾਈ · addnsub1 ਦੀ ਵਰਤੋਂ ਕਰਨ ਲਈ ਵੇਰੀਏਬਲ ਦੀ ਚੋਣ ਕਰੋ
ਗਤੀਸ਼ੀਲ ਜੋੜ/ਘਟਾਓ ਨਿਯੰਤਰਣ ਲਈ ਪੋਰਟ। ਜਦੋਂ ਵੇਰੀਏਬਲ ਮੁੱਲ ਚੁਣਿਆ ਜਾਂਦਾ ਹੈ: · ਐਡਸ਼ਨ ਓਪਰੇਸ਼ਨ ਲਈ addnsub1 ਸਿਗਨਲ ਨੂੰ ਉੱਚ 'ਤੇ ਚਲਾਓ। · ਘਟਾਓ ਕਾਰਵਾਈ ਲਈ addnsub1 ਸਿਗਨਲ ਨੂੰ ਘੱਟ ਵੱਲ ਚਲਾਓ। ਤੁਹਾਨੂੰ ਗੁਣਕ ਦੀ ਸੰਖਿਆ ਕੀ ਹੈ ਲਈ ਮੁੱਲ 4 ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ? ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਯੋਗ ਕਰਨ ਲਈ.
addnsub3 ਸਿਗਨਲ ਲਈ ਇਨਪੁਟ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਇਹ ਵਿਕਲਪ ਚੁਣੋ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਗੁਣਕ ਦੇ ਦੂਜੇ ਜੋੜੇ ਦੇ ਆਉਟਪੁੱਟ 'ਤੇ ਕੀ ਓਪਰੇਸ਼ਨ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ ਲਈ ਵੇਰੀਏਬਲ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
addnsub0 ਰਜਿਸਟਰ ਲਈ ਇਨਪੁਟ ਕਲਾਕ ਸਿਗਨਲ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ Clock1 , Clock2 ਜਾਂ Clock3 ਚੁਣੋ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਰਜਿਸਟਰ 'addnsub3' ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਜਾਰੀ…
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 48
ਫੀਡਬੈਕ ਭੇਜੋ
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
gui_addnsub_ multiplier_aclr 3
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_addnsub_ multiplier_sclr 3
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
ਪੋਲੈਰਿਟੀ ਸਮਰੱਥ `ਉਪਯੋਗ_ਸਬਡ'
gui_use_subn ਚਾਲੂ
ਸ਼ਾਮਲ ਕਰੋ
ਬੰਦ
8.6.3. ਗੁਣਕ ਟੈਬ
ਸਾਰਣੀ 32. ਗੁਣਕ ਟੈਬ
ਪੈਰਾਮੀਟਰ
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਕੀ ਹੈ
gui_ਨੁਮਾਇੰਦਗੀ
ਨੁਮਾਇੰਦਗੀ ਫਾਰਮੈਟ ation_a
ਗੁਣਕ ਏ ਇਨਪੁਟਸ ਲਈ?
ਹਸਤਾਖਰਿਤ, ਹਸਤਾਖਰਿਤ, ਵੇਰੀਏਬਲ
ਰਜਿਸਟਰ 'ਸਿਗਨਾ' ਇਨਪੁਟ
gui_register_s ਚਾਲੂ
ਇਗਨਾ
ਬੰਦ
ਘੜੀ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_register_s igna_clock
ਘੜੀ0 ਘੜੀ1 ਘੜੀ 2
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_register_s igna_aclr
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_register_s igna_sclr
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
ਕੀ ਹੈ
gui_ਨੁਮਾਇੰਦਗੀ
ਨੁਮਾਇੰਦਗੀ ਫਾਰਮੈਟ ation_b
ਮਲਟੀਪਲਾਇਅਰ ਬੀ ਇਨਪੁਟਸ ਲਈ?
ਹਸਤਾਖਰਿਤ, ਹਸਤਾਖਰਿਤ, ਵੇਰੀਏਬਲ
'signb' ਇਨਪੁਟ ਰਜਿਸਟਰ ਕਰੋ
gui_register_s ਚਾਲੂ
ignb
ਬੰਦ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ ਕੋਈ ਨਹੀਂ
ਕੋਈ ਨਹੀਂ
ਵਰਣਨ
addnsub3 ਰਜਿਸਟਰ ਲਈ ਅਸਿੰਕਰੋਨਸ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਰਜਿਸਟਰ 'addnsub3' ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
addnsub3 ਰਜਿਸਟਰ ਲਈ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਰਜਿਸਟਰ 'addnsub3' ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਬੰਦ
ਫੰਕਸ਼ਨ ਨੂੰ ਉਲਟਾਉਣ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਚੁਣੋ
addnsub ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ।
ਘਟਾਓ ਕਾਰਵਾਈ ਲਈ addnsub ਨੂੰ ਉੱਚੇ ਵੱਲ ਵਧਾਓ।
ਐਡੀਸ਼ਨ ਓਪਰੇਸ਼ਨ ਲਈ addnsub ਨੂੰ ਘੱਟ ਤੱਕ ਚਲਾਓ।
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਵਰਣਨ
ਅਣ-ਹਸਤਾਖਰਿਤ ਗੁਣਕ A ਇੰਪੁੱਟ ਲਈ ਪ੍ਰਤੀਨਿਧਤਾ ਫਾਰਮੈਟ ਨਿਰਧਾਰਤ ਕਰੋ।
ਬੰਦ
ਸੰਕੇਤ ਯੋਗ ਕਰਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਚੁਣੋ
ਰਜਿਸਟਰ ਕਰੋ।
ਤੁਹਾਨੂੰ ਇਸਦੇ ਲਈ ਵੇਰੀਏਬਲ ਮੁੱਲ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ ਗੁਣਕ A ਇਨਪੁਟਸ ਲਈ ਪ੍ਰਤੀਨਿਧਤਾ ਫਾਰਮੈਟ ਕੀ ਹੈ? ਇਸ ਵਿਕਲਪ ਨੂੰ ਯੋਗ ਕਰਨ ਲਈ ਪੈਰਾਮੀਟਰ.
ਘੜੀ 0
ਸਾਈਨਾ ਰਜਿਸਟਰ ਲਈ ਇਨਪੁਟ ਕਲਾਕ ਸਿਗਨਲ ਨੂੰ ਸਮਰੱਥ ਅਤੇ ਨਿਰਧਾਰਿਤ ਕਰਨ ਲਈ Clock0 , Clock1 ਜਾਂ Clock2 ਚੁਣੋ।
ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਰਜਿਸਟਰ 'ਸਿਗਨਾ' ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਕੋਈ ਨਹੀਂ
ਸੰਕੇਤ ਰਜਿਸਟਰ ਲਈ ਅਸਿੰਕਰੋਨਸ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਰਜਿਸਟਰ 'ਸਿਗਨਾ' ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਕੋਈ ਨਹੀਂ
ਸਾਈਨਾ ਰਜਿਸਟਰ ਲਈ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਰਜਿਸਟਰ 'ਸਿਗਨਾ' ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਅਣ-ਹਸਤਾਖਰਿਤ ਗੁਣਕ B ਇੰਪੁੱਟ ਲਈ ਨੁਮਾਇੰਦਗੀ ਫਾਰਮੈਟ ਦਿਓ।
ਬੰਦ
ਸਾਈਨਬ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਚੁਣੋ
ਰਜਿਸਟਰ ਕਰੋ।
ਜਾਰੀ…
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 49
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਘੜੀ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_register_s ignb_clock
ਘੜੀ0 ਘੜੀ1 ਘੜੀ 2
ਘੜੀ 0
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_register_s ignb_aclr
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_register_s ignb_sclr
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
ਇਨਪੁਟ ਸੰਰਚਨਾ
ਗੁਣਕ ਦਾ ਇੰਪੁੱਟ A ਰਜਿਸਟਰ ਕਰੋ
ਘੜੀ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_input_reg ਚਾਲੂ ਹੈ
ister_a
ਬੰਦ
gui_input_reg ister_a_clock
ਘੜੀ0 ਘੜੀ1 ਘੜੀ 2
ਕੋਈ ਨਹੀਂ
ਬੰਦ ਘੜੀ 0
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_input_reg ister_a_aclr
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_input_reg ister_a_sclr
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
ਗੁਣਕ ਦਾ ਇੰਪੁੱਟ B ਰਜਿਸਟਰ ਕਰੋ
ਘੜੀ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_input_reg ਚਾਲੂ ਹੈ
ister_b
ਬੰਦ
gui_input_reg ister_b_clock
ਘੜੀ0 ਘੜੀ1 ਘੜੀ 2
NONE NONE ਬੰਦ ਘੜੀ0
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_input_reg ister_b_aclr
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਕੋਈ ਨਹੀਂ
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_input_reg ister_b_sclr
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
ਕੋਈ ਨਹੀਂ
ਗੁਣਕ ਦਾ ਇੰਪੁੱਟ A ਕਿਸ ਨਾਲ ਜੁੜਿਆ ਹੋਇਆ ਹੈ?
gui_multiplier ਗੁਣਕ ਇਨਪੁਟ ਗੁਣਕ
_a_ਇਨਪੁਟ
ਸਕੈਨ ਚੇਨ ਇੰਪੁੱਟ ਇੰਪੁੱਟ
ਵਰਣਨ
ਤੁਹਾਨੂੰ ਇਸਦੇ ਲਈ ਵੇਰੀਏਬਲ ਮੁੱਲ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ ਮਲਟੀਪਲਾਇਅਰ ਬੀ ਇਨਪੁਟਸ ਲਈ ਪ੍ਰਤੀਨਿਧਤਾ ਫਾਰਮੈਟ ਕੀ ਹੈ? ਇਸ ਵਿਕਲਪ ਨੂੰ ਯੋਗ ਕਰਨ ਲਈ ਪੈਰਾਮੀਟਰ.
ਸਾਈਨਬ ਰਜਿਸਟਰ ਲਈ ਇਨਪੁਟ ਕਲਾਕ ਸਿਗਨਲ ਨੂੰ ਸਮਰੱਥ ਅਤੇ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ Clock0 , Clock1 ਜਾਂ Clock2 ਚੁਣੋ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਰਜਿਸਟਰ 'signb' ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਸਾਈਨਬ ਰਜਿਸਟਰ ਲਈ ਅਸਿੰਕਰੋਨਸ ਕਲੀਅਰ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਰਜਿਸਟਰ 'signb' ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਸਾਈਨਬ ਰਜਿਸਟਰ ਲਈ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਰਜਿਸਟਰ 'signb' ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਡੇਟਾ ਇਨਪੁਟ ਬੱਸ ਲਈ ਇਨਪੁਟ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਚੁਣੋ।
ਡਾਟਾ ਇਨਪੁਟ ਬੱਸ ਲਈ ਰਜਿਸਟਰ ਇਨਪੁਟ ਕਲਾਕ ਸਿਗਨਲ ਨੂੰ ਸਮਰੱਥ ਅਤੇ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ Clock0 , Clock1 ਜਾਂ Clock2 ਚੁਣੋ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਗੁਣਕ ਦਾ ਰਜਿਸਟਰ ਇਨਪੁਟ A ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਡਾਟਾ ਇੰਪੁੱਟ ਬੱਸ ਲਈ ਰਜਿਸਟਰ ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਸਰੋਤ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਗੁਣਕ ਦਾ ਰਜਿਸਟਰ ਇਨਪੁਟ A ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਡੇਟਾ ਇਨਪੁਟ ਬੱਸ ਲਈ ਰਜਿਸਟਰ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਰੋਤ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਗੁਣਕ ਦਾ ਰਜਿਸਟਰ ਇਨਪੁਟ A ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਡਾਟਾਬ ਇਨਪੁਟ ਬੱਸ ਲਈ ਇਨਪੁਟ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਇਹ ਵਿਕਲਪ ਚੁਣੋ।
ਡਾਟਾਬ ਇਨਪੁਟ ਬੱਸ ਲਈ ਰਜਿਸਟਰ ਇਨਪੁਟ ਕਲਾਕ ਸਿਗਨਲ ਨੂੰ ਸਮਰੱਥ ਅਤੇ ਨਿਰਧਾਰਿਤ ਕਰਨ ਲਈ Clock0 , Clock1 ਜਾਂ Clock2 ਚੁਣੋ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਗੁਣਕ ਦਾ ਰਜਿਸਟਰ ਇਨਪੁਟ B ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਡਾਟਾਬ ਇਨਪੁਟ ਬੱਸ ਲਈ ਰਜਿਸਟਰ ਅਸਿੰਕਰੋਨਸ ਕਲੀਅਰ ਸਰੋਤ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਗੁਣਕ ਦਾ ਰਜਿਸਟਰ ਇਨਪੁਟ B ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਡੇਟਾਬ ਇਨਪੁਟ ਬੱਸ ਲਈ ਰਜਿਸਟਰ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਰੋਤ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਗੁਣਕ ਦਾ ਰਜਿਸਟਰ ਇਨਪੁਟ B ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਗੁਣਕ ਦੇ ਇੰਪੁੱਟ A ਲਈ ਇਨਪੁਟ ਸਰੋਤ ਚੁਣੋ।
ਜਾਰੀ…
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 50
ਫੀਡਬੈਕ ਭੇਜੋ
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਸਕੈਨਆਊਟ ਏ ਰਜਿਸਟਰ ਕੌਂਫਿਗਰੇਸ਼ਨ
ਸਕੈਨ ਚੇਨ ਦਾ ਆਉਟਪੁੱਟ ਰਜਿਸਟਰ ਕਰੋ
gui_scanouta ਚਾਲੂ
_ਰਜਿਸਟਰ ਕਰੋ
ਬੰਦ
ਘੜੀ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_scanouta _register_clock k
ਘੜੀ0 ਘੜੀ1 ਘੜੀ 2
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_scanouta _register_aclr
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_scanouta _register_sclr
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
8.6.4. ਪ੍ਰੀਡਰ ਟੈਬ
ਸਾਰਣੀ 33. ਪ੍ਰੀਡਰ ਟੈਬ
ਪੈਰਾਮੀਟਰ
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਪ੍ਰੀਡਰ ਮੋਡ ਚੁਣੋ
preadder_mo de
ਸਧਾਰਨ, COEF, ਇਨਪੁਟ, ਵਰਗ, ਸਥਿਰ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਵਰਣਨ
ਗੁਣਕ ਦੇ ਸਰੋਤ ਵਜੋਂ ਡੇਟਾ ਇਨਪੁਟ ਬੱਸ ਦੀ ਵਰਤੋਂ ਕਰਨ ਲਈ ਗੁਣਕ ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰੋ। ਸਕੈਨਿਨ ਇਨਪੁਟ ਬੱਸ ਨੂੰ ਗੁਣਕ ਦੇ ਸਰੋਤ ਵਜੋਂ ਵਰਤਣ ਲਈ ਸਕੈਨ ਚੇਨ ਇਨਪੁੱਟ ਦੀ ਚੋਣ ਕਰੋ ਅਤੇ ਸਕੈਨਆਊਟ ਆਉਟਪੁੱਟ ਬੱਸ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ। ਇਹ ਪੈਰਾਮੀਟਰ ਉਪਲਬਧ ਹੁੰਦਾ ਹੈ ਜਦੋਂ ਤੁਸੀਂ ਗੁਣਕ ਦੀ ਸੰਖਿਆ ਲਈ 2, 3 ਜਾਂ 4 ਦੀ ਚੋਣ ਕਰਦੇ ਹੋ? ਪੈਰਾਮੀਟਰ।
ਬੰਦ ਘੜੀ0 ਕੋਈ ਨਹੀਂ ਕੋਈ ਨਹੀਂ
ਸਕੈਨੌਟਾ ਆਉਟਪੁੱਟ ਬੱਸ ਲਈ ਆਉਟਪੁੱਟ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਇਹ ਵਿਕਲਪ ਚੁਣੋ।
ਤੁਹਾਨੂੰ ਇਸ ਲਈ ਸਕੈਨ ਚੇਨ ਇਨਪੁੱਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ ਕਿ ਗੁਣਕ ਦਾ ਇਨਪੁਟ A ਕਿਸ ਨਾਲ ਜੁੜਿਆ ਹੋਇਆ ਹੈ? ਇਸ ਵਿਕਲਪ ਨੂੰ ਯੋਗ ਕਰਨ ਲਈ ਪੈਰਾਮੀਟਰ.
ਸਕੈਨੌਟਾ ਆਉਟਪੁੱਟ ਬੱਸ ਲਈ ਰਜਿਸਟਰ ਇਨਪੁਟ ਕਲਾਕ ਸਿਗਨਲ ਨੂੰ ਸਮਰੱਥ ਅਤੇ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ Clock0 , Clock1 ਜਾਂ Clock2 ਚੁਣੋ।
ਤੁਹਾਨੂੰ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਸਕੈਨ ਚੇਨ ਪੈਰਾਮੀਟਰ ਦੇ ਰਜਿਸਟਰ ਆਉਟਪੁੱਟ ਨੂੰ ਚਾਲੂ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।
ਸਕੈਨੌਟਾ ਆਉਟਪੁੱਟ ਬੱਸ ਲਈ ਰਜਿਸਟਰ ਅਸਿੰਕਰੋਨਸ ਕਲੀਅਰ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ਤੁਹਾਨੂੰ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਸਕੈਨ ਚੇਨ ਪੈਰਾਮੀਟਰ ਦੇ ਰਜਿਸਟਰ ਆਉਟਪੁੱਟ ਨੂੰ ਚਾਲੂ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।
ਸਕੈਨੌਟਾ ਆਉਟਪੁੱਟ ਬੱਸ ਲਈ ਰਜਿਸਟਰ ਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ਤੁਹਾਨੂੰ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਸਕੈਨ ਚੇਨ ਪੈਰਾਮੀਟਰ ਦਾ ਰਜਿਸਟਰ ਆਉਟਪੁੱਟ ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਸਧਾਰਨ
ਵਰਣਨ
ਪ੍ਰੀਡਰ ਮੋਡੀਊਲ ਲਈ ਓਪਰੇਸ਼ਨ ਮੋਡ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਸਧਾਰਨ: ਇਹ ਮੋਡ ਪ੍ਰੀਡਰ ਨੂੰ ਬਾਈਪਾਸ ਕਰਦਾ ਹੈ। ਇਹ ਡਿਫਾਲਟ ਮੋਡ ਹੈ। COEF: ਇਹ ਮੋਡ ਪ੍ਰੀਡਰ ਅਤੇ ਕੋਫਸੇਲ ਇਨਪੁਟ ਬੱਸ ਦੇ ਆਉਟਪੁੱਟ ਨੂੰ ਗੁਣਕ ਨੂੰ ਇਨਪੁਟ ਵਜੋਂ ਵਰਤਦਾ ਹੈ। ਇਨਪੁਟ: ਇਹ ਮੋਡ ਪ੍ਰੀਡਰ ਅਤੇ ਡੈਟਾਕ ਇਨਪੁਟ ਬੱਸ ਦੇ ਆਉਟਪੁੱਟ ਨੂੰ ਗੁਣਕ ਨੂੰ ਇਨਪੁਟ ਵਜੋਂ ਵਰਤਦਾ ਹੈ। ਵਰਗ: ਇਹ ਮੋਡ ਪ੍ਰੀਡਰ ਦੇ ਆਉਟਪੁੱਟ ਦੀ ਵਰਤੋਂ ਗੁਣਕ ਲਈ ਦੋਵੇਂ ਇਨਪੁਟਸ ਵਜੋਂ ਕਰਦਾ ਹੈ।
ਜਾਰੀ…
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 51
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਪ੍ਰੀਡਰ ਦਿਸ਼ਾ ਚੁਣੋ
gui_preadder ADD,
_ਦਿਸ਼ਾ
ਸਬ
C width_c ਇਨਪੁਟ ਬੱਸਾਂ ਦੀ ਚੌੜਾਈ ਕਿੰਨੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ?
1 - 256
ਡਾਟਾ C ਇੰਪੁੱਟ ਰਜਿਸਟਰ ਕੌਂਫਿਗਰੇਸ਼ਨ
ਡਾਟਾਕ ਇੰਪੁੱਟ ਰਜਿਸਟਰ ਕਰੋ
gui_datac_inp ਚਾਲੂ
ut_register
ਬੰਦ
ਘੜੀ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_datac_inp ut_register_cl ock
ਘੜੀ0 ਘੜੀ1 ਘੜੀ 2
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_datac_inp ut_register_a clr
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_datac_inp ut_register_sc lr
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
ਗੁਣਾਂਕ
ਕੋਫ ਦੀ ਚੌੜਾਈ ਕਿੰਨੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ?
width_coef
1 - 27
Coef ਰਜਿਸਟਰ ਕੌਂਫਿਗਰੇਸ਼ਨ
coefsel ਇੰਪੁੱਟ ਨੂੰ ਰਜਿਸਟਰ ਕਰੋ
gui_coef_regi ਚਾਲੂ
ster
ਬੰਦ
ਘੜੀ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_coef_regi ster_clock
ਘੜੀ0 ਘੜੀ1 ਘੜੀ 2
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ADD
16
ਵਰਣਨ
CONSTANT: ਇਹ ਮੋਡ ਪ੍ਰੀਡਰ ਬਾਈਪਾਸਡ ਅਤੇ ਕੋਫਸੇਲ ਇਨਪੁਟ ਬੱਸ ਦੇ ਨਾਲ ਡਾਟਾ ਇਨਪੁਟ ਬੱਸ ਦੀ ਵਰਤੋਂ ਗੁਣਕ ਨੂੰ ਇਨਪੁਟ ਵਜੋਂ ਕਰਦਾ ਹੈ।
ਪ੍ਰੀਡਰ ਦੀ ਕਾਰਵਾਈ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ। ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ, ਸਿਲੈਕਟ ਪ੍ਰੀਡਰ ਮੋਡ ਲਈ ਹੇਠ ਲਿਖੇ ਨੂੰ ਚੁਣੋ: · COEF · INPUT · SQUARE or · CONSTANT
C ਇੰਪੁੱਟ ਬੱਸ ਲਈ ਬਿੱਟਾਂ ਦੀ ਸੰਖਿਆ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਤੁਹਾਨੂੰ ਸਿਲੈਕਟ ਪ੍ਰੀਡਰ ਮੋਡ ਲਈ INPUT ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਘੜੀ 'ਤੇ0 NONE NONE
ਡੇਟਾਕ ਇਨਪੁਟ ਬੱਸ ਲਈ ਇਨਪੁਟ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਚੁਣੋ। ਤੁਹਾਨੂੰ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਪ੍ਰੀਡਰ ਮੋਡ ਪੈਰਾਮੀਟਰ ਦੀ ਚੋਣ ਕਰਨ ਲਈ INPUT ਸੈੱਟ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।
ਡੈਟਾਕ ਇਨਪੁਟ ਰਜਿਸਟਰ ਲਈ ਇਨਪੁਟ ਕਲਾਕ ਸਿਗਨਲ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ Clock0 , Clock1 ਜਾਂ Clock2 ਚੁਣੋ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਰਜਿਸਟਰ ਡੇਟਾਕ ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਡੈਟਾਕ ਇਨਪੁਟ ਰਜਿਸਟਰ ਲਈ ਅਸਿੰਕਰੋਨਸ ਕਲੀਅਰ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਰਜਿਸਟਰ ਡੇਟਾਕ ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਡੈਟਾਕ ਇਨਪੁਟ ਰਜਿਸਟਰ ਲਈ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਰਜਿਸਟਰ ਡੇਟਾਕ ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
18
ਲਈ ਬਿੱਟਾਂ ਦੀ ਸੰਖਿਆ ਦੱਸਦਾ ਹੈ
coefsel ਇੰਪੁੱਟ ਬੱਸ.
ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਤੁਹਾਨੂੰ ਪ੍ਰੀਡਰ ਮੋਡ ਲਈ COEF ਜਾਂ CONSTANT ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਘੜੀ 0 'ਤੇ
ਕੋਫਸੇਲ ਇਨਪੁਟ ਬੱਸ ਲਈ ਇਨਪੁਟ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਇਹ ਵਿਕਲਪ ਚੁਣੋ। ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ ਪ੍ਰੀਡਰ ਮੋਡ ਲਈ COEF ਜਾਂ CONSTANT ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਕੋਫਸੇਲ ਇਨਪੁਟ ਰਜਿਸਟਰ ਲਈ ਇਨਪੁਟ ਕਲਾਕ ਸਿਗਨਲ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ Clock0 , Clock1 ਜਾਂ Clock2 ਚੁਣੋ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਕੋਫਸੇਲ ਇਨਪੁਟ ਨੂੰ ਰਜਿਸਟਰ ਕਰੋ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਜਾਰੀ…
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 52
ਫੀਡਬੈਕ ਭੇਜੋ
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
gui_coef_regi ster_aclr
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ
gui_coef_regi ster_sclr
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
ਗੁਣਾਂਕ_0 ਕੌਂਫਿਗਰੇਸ਼ਨ
coef0_0 ਤੋਂ coef0_7
0x00000 0xFFFFFFF
ਗੁਣਾਂਕ_1 ਕੌਂਫਿਗਰੇਸ਼ਨ
coef1_0 ਤੋਂ coef1_7
0x00000 0xFFFFFFF
ਗੁਣਾਂਕ_2 ਕੌਂਫਿਗਰੇਸ਼ਨ
coef2_0 ਤੋਂ coef2_7
0x00000 0xFFFFFFF
ਗੁਣਾਂਕ_3 ਕੌਂਫਿਗਰੇਸ਼ਨ
coef3_0 ਤੋਂ coef3_7
0x00000 0xFFFFFFF
8.6.5 ਇੱਕੂਮੂਲੇਟਰ ਟੈਬ
ਸਾਰਣੀ 34. ਸੰਚਾਈ ਟੈਬ
ਪੈਰਾਮੀਟਰ
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਕੀ ਸੰਚਾਲਕ ਨੂੰ ਚਾਲੂ ਕਰਨਾ ਹੈ?
ਇਕੱਠਾ ਕਰਨ ਵਾਲਾ
ਹਾਂ ਨਹੀਂ
ਸੰਚਤ ਸੰਚਾਲਨ ਦੀ ਕਿਸਮ ਕੀ ਹੈ?
accum_directi ADD,
on
ਸਬ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ ਕੋਈ ਨਹੀਂ
ਕੋਈ ਨਹੀਂ
0x0000000 0
0x0000000 0
0x0000000 0
0x0000000 0
ਵਰਣਨ
ਕੋਫਸੇਲ ਇਨਪੁਟ ਰਜਿਸਟਰ ਲਈ ਅਸਿੰਕਰੋਨਸ ਸਪਸ਼ਟ ਸਰੋਤ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ ਰਜਿਸਟਰ ਕਰੋ ਕੋਫਸੇਲ ਇੰਪੁੱਟ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਕੋਫਸੇਲ ਇਨਪੁਟ ਰਜਿਸਟਰ ਲਈ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਕੋਫਸੇਲ ਇਨਪੁਟ ਨੂੰ ਰਜਿਸਟਰ ਕਰੋ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਇਸ ਪਹਿਲੇ ਗੁਣਕ ਲਈ ਗੁਣਾਂਕ ਮੁੱਲ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਬਿੱਟਾਂ ਦੀ ਗਿਣਤੀ ਉਹੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ ਜਿਵੇਂ ਕਿ ਕੋਫ ਦੀ ਚੌੜਾਈ ਕਿੰਨੀ ਚੌੜੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ? ਪੈਰਾਮੀਟਰ। ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਤੁਹਾਨੂੰ ਪ੍ਰੀਡਰ ਮੋਡ ਲਈ COEF ਜਾਂ CONSTANT ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਇਸ ਦੂਜੇ ਗੁਣਕ ਲਈ ਗੁਣਾਂਕ ਮੁੱਲ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਬਿੱਟਾਂ ਦੀ ਗਿਣਤੀ ਉਹੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ ਜਿਵੇਂ ਕਿ ਕੋਫ ਦੀ ਚੌੜਾਈ ਕਿੰਨੀ ਚੌੜੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ? ਪੈਰਾਮੀਟਰ। ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ ਪ੍ਰੀਡਰ ਮੋਡ ਲਈ COEF ਜਾਂ CONSTANT ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਇਸ ਤੀਜੇ ਗੁਣਕ ਲਈ ਗੁਣਾਂਕ ਮੁੱਲ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਬਿੱਟਾਂ ਦੀ ਗਿਣਤੀ ਉਹੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ ਜਿਵੇਂ ਕਿ ਕੋਫ ਦੀ ਚੌੜਾਈ ਕਿੰਨੀ ਚੌੜੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ? ਪੈਰਾਮੀਟਰ। ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ ਪ੍ਰੀਡਰ ਮੋਡ ਲਈ COEF ਜਾਂ CONSTANT ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਇਸ ਚੌਥੇ ਗੁਣਕ ਲਈ ਗੁਣਾਂਕ ਮੁੱਲ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਬਿੱਟਾਂ ਦੀ ਗਿਣਤੀ ਉਹੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ ਜਿਵੇਂ ਕਿ ਕੋਫ ਦੀ ਚੌੜਾਈ ਕਿੰਨੀ ਚੌੜੀ ਹੋਣੀ ਚਾਹੀਦੀ ਹੈ? ਪੈਰਾਮੀਟਰ। ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ ਪ੍ਰੀਡਰ ਮੋਡ ਲਈ COEF ਜਾਂ CONSTANT ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ NO
ADD
ਵਰਣਨ
ਸੰਚਾਲਕ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਹਾਂ ਚੁਣੋ। ਤੁਹਾਨੂੰ ਸੰਚਾਈ ਵਿਸ਼ੇਸ਼ਤਾ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਸਮੇਂ ਐਡਰ ਯੂਨਿਟ ਦਾ ਰਜਿਸਟਰ ਆਉਟਪੁੱਟ ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਸੰਚਵਕ ਦੀ ਕਾਰਵਾਈ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ: · ਜੋੜ ਕਾਰਵਾਈ ਲਈ ADD · ਘਟਾਓ ਕਾਰਵਾਈ ਲਈ SUB। ਤੁਹਾਨੂੰ ਯੋਗ ਸੰਚਾਈ ਲਈ ਹਾਂ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ? ਇਸ ਵਿਕਲਪ ਨੂੰ ਯੋਗ ਕਰਨ ਲਈ ਪੈਰਾਮੀਟਰ.
ਜਾਰੀ…
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 53
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ
ਪ੍ਰੀਲੋਡ ਸਥਿਰ ਪ੍ਰੀਲੋਡ ਸਥਿਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
gui_ena_prelo ਚਾਲੂ
ad_const
ਬੰਦ
ਐਕਮੁਲੇਟ ਪੋਰਟ ਦਾ ਇੰਪੁੱਟ ਕਿਸ ਨਾਲ ਜੁੜਿਆ ਹੈ?
gui_accumula ACCUM_SLOAD, te_port_select SLOAD_ACCUM
ਪ੍ਰੀਲੋਡ loadconst_val 0 - 64 ਲਈ ਮੁੱਲ ਚੁਣੋ
ਸਥਿਰ
ue
ਘੜੀ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_accum_sl oad_register_ ਘੜੀ
ਘੜੀ0 ਘੜੀ1 ਘੜੀ 2
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_accum_sl oad_register_aclr
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_accum_sl oad_register_ sclr
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
ਡਬਲ ਐਕਮੁਲੇਟਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
gui_double_a ਚਾਲੂ
cum
ਬੰਦ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਵਰਣਨ
ਬੰਦ
accum_sload ਯੋਗ ਕਰੋ ਜਾਂ
sload_accum ਸਿਗਨਲ ਅਤੇ ਰਜਿਸਟਰ ਇਨਪੁਟ
ਨੂੰ ਗਤੀਸ਼ੀਲ ਤੌਰ 'ਤੇ ਇਨਪੁਟ ਦੀ ਚੋਣ ਕਰਨ ਲਈ
ਇਕੱਠਾ ਕਰਨ ਵਾਲਾ.
ਜਦੋਂ accum_sload ਘੱਟ ਜਾਂ sload_accum ਹੁੰਦਾ ਹੈ, ਤਾਂ ਗੁਣਕ ਆਉਟਪੁੱਟ ਨੂੰ ਸੰਚਵਕ ਵਿੱਚ ਫੀਡ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਜਦੋਂ accum_sload ਵੱਧ ਜਾਂ sload_accum ਹੁੰਦਾ ਹੈ, ਤਾਂ ਇੱਕ ਉਪਭੋਗਤਾ ਦੁਆਰਾ ਨਿਰਧਾਰਤ ਪ੍ਰੀਲੋਡ ਸਥਿਰਤਾ ਨੂੰ ਸੰਚਵਕ ਵਿੱਚ ਫੀਡ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਤੁਹਾਨੂੰ ਯੋਗ ਸੰਚਾਈ ਲਈ ਹਾਂ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ? ਇਸ ਵਿਕਲਪ ਨੂੰ ਯੋਗ ਕਰਨ ਲਈ ਪੈਰਾਮੀਟਰ.
ACCUM_SL OAD
accum_sload/ sload_accum ਸਿਗਨਲ ਦੇ ਵਿਹਾਰ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ACCUM_SLOAD: ਗੁਣਕ ਆਉਟਪੁੱਟ ਨੂੰ ਇੱਕੂਮੂਲੇਟਰ 'ਤੇ ਲੋਡ ਕਰਨ ਲਈ accum_sload ਘੱਟ ਚਲਾਓ।
SLOAD_ACCUM: ਮਲਟੀਪਲੇਅਰ ਆਉਟਪੁੱਟ ਨੂੰ ਇੱਕੂਮੂਲੇਟਰ 'ਤੇ ਲੋਡ ਕਰਨ ਲਈ sload_accum ਨੂੰ ਉੱਚਾ ਚਲਾਓ।
ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਪ੍ਰੀਲੋਡ ਸਥਿਰ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
64
ਪ੍ਰੀ-ਸੈੱਟ ਸਥਿਰ ਮੁੱਲ ਦਿਓ।
ਇਹ ਮੁੱਲ 2N ਹੋ ਸਕਦਾ ਹੈ ਜਿੱਥੇ N ਪ੍ਰੀਸੈੱਟ ਸਥਿਰ ਮੁੱਲ ਹੈ।
ਜਦੋਂ N=64, ਇਹ ਇੱਕ ਸਥਿਰ ਜ਼ੀਰੋ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।
ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਪ੍ਰੀਲੋਡ ਸਥਿਰ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਘੜੀ 0
accum_sload/sload_accum ਰਜਿਸਟਰ ਲਈ ਇਨਪੁਟ ਕਲਾਕ ਸਿਗਨਲ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ Clock0 , Clock1 ਜਾਂ Clock2 ਚੁਣੋ।
ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਪ੍ਰੀਲੋਡ ਸਥਿਰ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਕੋਈ ਨਹੀਂ
accum_sload/sload_accum ਰਜਿਸਟਰ ਲਈ ਅਸਿੰਕਰੋਨਸ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਪ੍ਰੀਲੋਡ ਸਥਿਰ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਕੋਈ ਨਹੀਂ
accum_sload/sload_accum ਰਜਿਸਟਰ ਲਈ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ਤੁਹਾਨੂੰ ਇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਪ੍ਰੀਲੋਡ ਸਥਿਰ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਬੰਦ
ਡਬਲ ਐਕਮੁਲੇਟਰ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ।
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 54
ਫੀਡਬੈਕ ਭੇਜੋ
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
8.6.6 ਸਿਸਟੋਲਿਕ/ਚੇਨਆਊਟ ਟੈਬ
ਸਾਰਣੀ 35. ਸਿਸਟੋਲਿਕ/ਚੇਨਆਊਟ ਐਡਰ ਟੈਬ
ਪੈਰਾਮੀਟਰ ਚੇਨਆਊਟ ਐਡਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
chainout_add ਹਾਂ,
er
ਸੰ
ਚੇਨਆਊਟ ਐਡਰ ਓਪਰੇਸ਼ਨ ਦੀ ਕਿਸਮ ਕੀ ਹੈ?
chainout_add ADD,
er_direction
ਸਬ
ਚੇਨਆਊਟ ਐਡਰ ਲਈ 'ਨਕਾਰਾ' ਇਨਪੁਟ ਨੂੰ ਸਮਰੱਥ ਕਰਨਾ ਹੈ?
ਪੋਰਟ_ਨੇਗੇਟ
PORT_USED, PORT_UNUSED
ਕੀ 'ਨਕਾਰਾ' ਇਨਪੁਟ ਰਜਿਸਟਰ ਕਰਨਾ ਹੈ? negate_regist er
ਅਣਰਜਿਸਟਰਡ, CLOCK0, CLOCK1, CLOCK2, CLOCK3
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
negate_aclr
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
negate_sclr
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
ਸਿਸਟੋਲਿਕ ਦੇਰੀ
ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰਾਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
gui_systolic_d ਚਾਲੂ
elay
ਬੰਦ
ਘੜੀ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_systolic_d CLOCK0,
elay_clock
ਘੜੀ 1,
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਸੰ
ਵਰਣਨ
ਚੇਨਆਊਟ ਐਡਰ ਮੋਡੀਊਲ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਹਾਂ ਚੁਣੋ।
ADD
ਚੇਨਆਉਟ ਐਡਰ ਓਪਰੇਸ਼ਨ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ਘਟਾਉ ਕਾਰਵਾਈ ਲਈ, SIGNED ਨੂੰ ਚੁਣਿਆ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ ਗੁਣਕ A ਇਨਪੁਟਸ ਲਈ ਪ੍ਰਤੀਨਿਧਤਾ ਫਾਰਮੈਟ ਕੀ ਹੈ? ਅਤੇ ਮਲਟੀਪਲਾਇਅਰ ਬੀ ਇਨਪੁਟਸ ਲਈ ਪ੍ਰਤੀਨਿਧਤਾ ਫਾਰਮੈਟ ਕੀ ਹੈ? ਗੁਣਕ ਟੈਬ ਵਿੱਚ.
PORT_UN ਵਰਤੀ ਗਈ
ਨਕਾਰਾਤਮਕ ਇਨਪੁਟ ਸਿਗਨਲ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ PORT_USED ਦੀ ਚੋਣ ਕਰੋ।
ਇਹ ਪੈਰਾਮੀਟਰ ਅਵੈਧ ਹੁੰਦਾ ਹੈ ਜਦੋਂ ਚੇਨਆਊਟ ਐਡਰ ਅਸਮਰੱਥ ਹੁੰਦਾ ਹੈ।
ERED ਅਣਰਜਿਸਟ ਕਰੋ
ਨੈਗੇਟ ਇਨਪੁਟ ਸਿਗਨਲ ਲਈ ਇਨਪੁਟ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਅਤੇ ਨੈਗੇਟ ਰਜਿਸਟਰ ਲਈ ਇਨਪੁਟ ਕਲਾਕ ਸਿਗਨਲ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ਜੇਕਰ ਨਕਾਰਾਤਮਕ ਇਨਪੁਟ ਰਜਿਸਟਰ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ ਤਾਂ ਅਣਰਜਿਸਟਰਡ ਚੁਣੋ
ਇਹ ਪੈਰਾਮੀਟਰ ਅਵੈਧ ਹੈ ਜਦੋਂ ਤੁਸੀਂ ਇਹ ਚੁਣਦੇ ਹੋ:
· ਚੇਨਆਊਟ ਐਡਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ NO ਜਾਂ
· ਚੇਨਆਊਟ ਐਡਰ ਲਈ 'ਨਕਾਰਾਤਮਕ' ਇਨਪੁਟ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ PORT_UNUSED? ਪੈਰਾਮੀਟਰ ਜਾਂ
ਕੋਈ ਨਹੀਂ
ਨਕਾਰਾਤਮਕ ਰਜਿਸਟਰ ਲਈ ਅਸਿੰਕਰੋਨਸ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ਇਹ ਪੈਰਾਮੀਟਰ ਅਵੈਧ ਹੈ ਜਦੋਂ ਤੁਸੀਂ ਇਹ ਚੁਣਦੇ ਹੋ:
· ਚੇਨਆਊਟ ਐਡਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ NO ਜਾਂ
· ਚੇਨਆਊਟ ਐਡਰ ਲਈ 'ਨਕਾਰਾਤਮਕ' ਇਨਪੁਟ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ PORT_UNUSED? ਪੈਰਾਮੀਟਰ ਜਾਂ
ਕੋਈ ਨਹੀਂ
ਨਕਾਰਾਤਮਕ ਰਜਿਸਟਰ ਲਈ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ਇਹ ਪੈਰਾਮੀਟਰ ਅਵੈਧ ਹੈ ਜਦੋਂ ਤੁਸੀਂ ਇਹ ਚੁਣਦੇ ਹੋ:
· ਚੇਨਆਊਟ ਐਡਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ NO ਜਾਂ
· ਚੇਨਆਊਟ ਐਡਰ ਲਈ 'ਨਕਾਰਾਤਮਕ' ਇਨਪੁਟ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ PORT_UNUSED? ਪੈਰਾਮੀਟਰ ਜਾਂ
CLOCK0 ਬੰਦ
ਸਿਸਟੋਲਿਕ ਮੋਡ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਇਹ ਵਿਕਲਪ ਚੁਣੋ। ਇਹ ਪੈਰਾਮੀਟਰ ਉਪਲਬਧ ਹੁੰਦਾ ਹੈ ਜਦੋਂ ਤੁਸੀਂ ਗੁਣਕ ਦੀ ਸੰਖਿਆ ਲਈ 2, ਜਾਂ 4 ਦੀ ਚੋਣ ਕਰਦੇ ਹੋ? ਪੈਰਾਮੀਟਰ। ਤੁਹਾਨੂੰ ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰਾਂ ਦੀ ਵਰਤੋਂ ਕਰਨ ਲਈ ਐਡਰ ਯੂਨਿਟ ਦੇ ਰਜਿਸਟਰ ਆਉਟਪੁੱਟ ਨੂੰ ਸਮਰੱਥ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।
ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰ ਲਈ ਇਨਪੁਟ ਕਲਾਕ ਸਿਗਨਲ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ਜਾਰੀ…
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 55
8. Intel FPGA ਮਲਟੀਪਲਾਈ ਐਡਰ IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਘੜੀ 2,
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_systolic_d elay_aclr
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_systolic_d elay_sclr
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਕੋਈ ਨਹੀਂ
ਕੋਈ ਨਹੀਂ
ਵਰਣਨ
ਤੁਹਾਨੂੰ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰਾਂ ਨੂੰ ਸਮਰੱਥ ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰ ਲਈ ਅਸਿੰਕਰੋਨਸ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰਾਂ ਨੂੰ ਸਮਰੱਥ ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰ ਲਈ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਰੋਤ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ ਸਿਸਟੋਲਿਕ ਦੇਰੀ ਰਜਿਸਟਰਾਂ ਨੂੰ ਸਮਰੱਥ ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ।
8.6.7. ਪਾਈਪਲਾਈਨਿੰਗ ਟੈਬ
ਸਾਰਣੀ 36. ਪਾਈਪਲਾਈਨਿੰਗ ਟੈਬ
ਪੈਰਾਮੀਟਰ ਪਾਈਪਲਾਈਨਿੰਗ ਸੰਰਚਨਾ
IP ਤਿਆਰ ਕੀਤਾ ਪੈਰਾਮੀਟਰ
ਮੁੱਲ
ਕੀ ਤੁਸੀਂ ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਨੂੰ ਇਨਪੁਟ ਵਿੱਚ ਜੋੜਨਾ ਚਾਹੁੰਦੇ ਹੋ?
gui_pipelining ਨਹੀਂ, ਹਾਂ
ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ
ਨੰ
ਕਿਰਪਾ ਕਰਕੇ ਦੱਸੋ
ਲੇਟੈਂਸੀ
ਲੇਟੈਂਸੀ ਘੜੀ ਦੀ ਗਿਣਤੀ
ਚੱਕਰ
0 ਤੋਂ ਵੱਧ ਕੋਈ ਵੀ ਮੁੱਲ
ਘੜੀ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_input_late ncy_clock
CLOCK0, CLOCK1, CLOCK2
ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਇਨਪੁਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_input_late ncy_aclr
ਕੋਈ ਨਹੀਂ ACLR0 ACLR1
ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਇੰਪੁੱਟ ਲਈ ਸਰੋਤ ਕੀ ਹੈ?
gui_input_late ncy_sclr
SCLR0 SCLR1 ਕੋਈ ਨਹੀਂ
CLOCK0 ਕੋਈ ਨਹੀਂ ਕੋਈ ਨਹੀਂ
ਵਰਣਨ
ਇਨਪੁਟ ਸਿਗਨਲਾਂ ਲਈ ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਦੇ ਇੱਕ ਵਾਧੂ ਪੱਧਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਹਾਂ ਚੁਣੋ। ਕਿਰਪਾ ਕਰਕੇ ਲੇਟੈਂਸੀ ਕਲਾਕ ਚੱਕਰ ਪੈਰਾਮੀਟਰ ਦੀ ਸੰਖਿਆ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ 0 ਤੋਂ ਵੱਧ ਮੁੱਲ ਨਿਰਧਾਰਿਤ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।
ਘੜੀ ਦੇ ਚੱਕਰਾਂ ਵਿੱਚ ਲੋੜੀਂਦੀ ਲੇਟੈਂਸੀ ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ। ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਦਾ ਇੱਕ ਪੱਧਰ = ਘੜੀ ਦੇ ਚੱਕਰ ਵਿੱਚ 1 ਲੇਟੈਂਸੀ। ਕੀ ਤੁਸੀਂ ਇਨਪੁਟ ਵਿੱਚ ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਸ਼ਾਮਲ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ ਲਈ ਤੁਹਾਨੂੰ ਹਾਂ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ? ਇਸ ਚੋਣ ਨੂੰ ਯੋਗ ਕਰਨ ਲਈ.
ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਇਨਪੁਟ ਕਲਾਕ ਸਿਗਨਲ ਨੂੰ ਸਮਰੱਥ ਅਤੇ ਨਿਰਧਾਰਿਤ ਕਰਨ ਲਈ Clock0 , Clock1 ਜਾਂ Clock2 ਚੁਣੋ। ਕੀ ਤੁਸੀਂ ਇਨਪੁਟ ਵਿੱਚ ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਸ਼ਾਮਲ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ ਲਈ ਤੁਹਾਨੂੰ ਹਾਂ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ? ਇਸ ਚੋਣ ਨੂੰ ਯੋਗ ਕਰਨ ਲਈ.
ਅਤਿਰਿਕਤ ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਲਈ ਰਜਿਸਟਰ ਅਸਿੰਕ੍ਰੋਨਸ ਕਲੀਅਰ ਸਰੋਤ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਕੀ ਤੁਸੀਂ ਇਨਪੁਟ ਵਿੱਚ ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਸ਼ਾਮਲ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ ਲਈ ਤੁਹਾਨੂੰ ਹਾਂ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ? ਇਸ ਚੋਣ ਨੂੰ ਯੋਗ ਕਰਨ ਲਈ.
ਵਾਧੂ ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਲਈ ਰਜਿਸਟਰ ਸਮਕਾਲੀ ਸਪਸ਼ਟ ਸਰੋਤ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਕੀ ਤੁਸੀਂ ਇਨਪੁਟ ਵਿੱਚ ਪਾਈਪਲਾਈਨ ਰਜਿਸਟਰ ਸ਼ਾਮਲ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ ਲਈ ਤੁਹਾਨੂੰ ਹਾਂ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ? ਇਸ ਚੋਣ ਨੂੰ ਯੋਗ ਕਰਨ ਲਈ.
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 56
ਫੀਡਬੈਕ ਭੇਜੋ
683490 | 2020.10.05 ਫੀਡਬੈਕ ਭੇਜੋ
9. ALTMEMMULT (ਮੈਮੋਰੀ-ਅਧਾਰਿਤ ਸਥਿਰ ਗੁਣਾਂਕ ਗੁਣਕ) IP ਕੋਰ
ਧਿਆਨ:
ਇੰਟੇਲ ਨੇ ਇੰਟੈੱਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 20.3 ਵਿੱਚ ਇਸ ਆਈਪੀ ਦਾ ਸਮਰਥਨ ਹਟਾ ਦਿੱਤਾ ਹੈ। ਜੇਕਰ ਤੁਹਾਡੇ ਡਿਜ਼ਾਇਨ ਦਾ IP ਕੋਰ Intel Quartus Prime Pro Edition ਵਿੱਚ ਡਿਵਾਈਸਾਂ ਨੂੰ ਨਿਸ਼ਾਨਾ ਬਣਾਉਂਦਾ ਹੈ, ਤਾਂ ਤੁਸੀਂ IP ਨੂੰ LPM_MULT Intel FPGA IP ਨਾਲ ਬਦਲ ਸਕਦੇ ਹੋ ਜਾਂ IP ਨੂੰ ਮੁੜ-ਜਨਰੇਟ ਕਰ ਸਕਦੇ ਹੋ ਅਤੇ Intel Quartus Prime Standard Edition ਸੌਫਟਵੇਅਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰ ਸਕਦੇ ਹੋ।
ALTMEMMULT IP ਕੋਰ ਦੀ ਵਰਤੋਂ Intel FPGAs (M512, M4K, M9K, ਅਤੇ MLAB ਮੈਮੋਰੀ ਬਲਾਕਾਂ ਦੇ ਨਾਲ) ਵਿੱਚ ਪਾਏ ਜਾਣ ਵਾਲੇ ਆਨਚਿੱਪ ਮੈਮੋਰੀ ਬਲਾਕਾਂ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ ਮੈਮੋਰੀ-ਅਧਾਰਿਤ ਮਲਟੀਪਲੇਅਰ ਬਣਾਉਣ ਲਈ ਕੀਤੀ ਜਾਂਦੀ ਹੈ। ਇਹ IP ਕੋਰ ਲਾਭਦਾਇਕ ਹੈ ਜੇਕਰ ਤੁਹਾਡੇ ਕੋਲ ਤਰਕ ਤੱਤਾਂ (LEs) ਜਾਂ ਸਮਰਪਿਤ ਗੁਣਕ ਸਰੋਤਾਂ ਵਿੱਚ ਗੁਣਕ ਨੂੰ ਲਾਗੂ ਕਰਨ ਲਈ ਲੋੜੀਂਦੇ ਸਰੋਤ ਨਹੀਂ ਹਨ।
ALTMEMMULT IP ਕੋਰ ਇੱਕ ਸਮਕਾਲੀ ਫੰਕਸ਼ਨ ਹੈ ਜਿਸ ਲਈ ਇੱਕ ਘੜੀ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ। ALTMEMMULT IP ਕੋਰ ਦਿੱਤੇ ਗਏ ਪੈਰਾਮੀਟਰਾਂ ਅਤੇ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੇ ਸੈੱਟ ਲਈ ਸਭ ਤੋਂ ਛੋਟੇ ਥ੍ਰਰੂਪੁਟ ਅਤੇ ਲੇਟੈਂਸੀ ਦੇ ਨਾਲ ਇੱਕ ਗੁਣਕ ਨੂੰ ਲਾਗੂ ਕਰਦਾ ਹੈ।
ਹੇਠਾਂ ਦਿੱਤਾ ਚਿੱਤਰ ALTMEMMULT IP ਕੋਰ ਲਈ ਪੋਰਟਾਂ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।
ਚਿੱਤਰ 21. ALTMEMMULT ਪੋਰਟ
ALTMEMMULT
data_in[] sload_data coeff_in[]
ਨਤੀਜਾ[] ਨਤੀਜਾ_ਵੈਧ ਲੋਡ_ਹੋ ਗਿਆ
sload_coeff
sclr ਘੜੀ
inst
ਪੰਨਾ 71 'ਤੇ ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ
9.1 ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ
ALTMEMMULT IP ਕੋਰ ਹੇਠ ਲਿਖੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੀ ਪੇਸ਼ਕਸ਼ ਕਰਦਾ ਹੈ: · ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਬਲੌਕਸ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ ਸਿਰਫ ਮੈਮੋਰੀ-ਅਧਾਰਿਤ ਮਲਟੀਪਲੇਅਰ ਬਣਾਉਂਦਾ ਹੈ
Intel FPGAs · 1 ਬਿੱਟਾਂ ਦੀ ਡਾਟਾ ਚੌੜਾਈ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਹਸਤਾਖਰਿਤ ਅਤੇ ਹਸਤਾਖਰਿਤ ਡੇਟਾ ਪ੍ਰਸਤੁਤੀ ਫਾਰਮੈਟ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ · ਸਥਿਰ ਆਉਟਪੁੱਟ ਲੇਟੈਂਸੀ ਨਾਲ ਪਾਈਪਲਾਈਨਿੰਗ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਲਈ ਵਾਰੰਟ ਦਿੰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਅਰਜ਼ੀ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ISO 9001:2015 ਰਜਿਸਟਰਡ
9. ALTMEMMULT (ਮੈਮੋਰੀ-ਅਧਾਰਿਤ ਸਥਿਰ ਗੁਣਾਂਕ ਗੁਣਕ) IP ਕੋਰ 683490 | 2020.10.05
· ਰੈਂਡਮ-ਐਕਸੈਸ ਮੈਮੋਰੀ (RAM) ਵਿੱਚ ਗੁਣਕ ਸਥਿਰਾਂਕਾਂ ਨੂੰ ਸਟੋਰ ਕਰਦਾ ਹੈ
· RAM ਬਲਾਕ ਕਿਸਮ ਦੀ ਚੋਣ ਕਰਨ ਲਈ ਇੱਕ ਵਿਕਲਪ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ
· ਵਿਕਲਪਿਕ ਸਮਕਾਲੀ ਕਲੀਅਰ ਅਤੇ ਲੋਡ-ਕੰਟਰੋਲ ਇਨਪੁਟ ਪੋਰਟਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ
9.2 Verilog HDL ਪ੍ਰੋਟੋਟਾਈਪ
ਨਿਮਨਲਿਖਤ ਵੇਰੀਲੋਗ ਐਚਡੀਐਲ ਪ੍ਰੋਟੋਟਾਈਪ ਵੇਰੀਲੌਗ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.v) altera_mf.v ਵਿੱਚ eda ਸਿੰਥੇਸਿਸ ਡਾਇਰੈਕਟਰੀ.
ਮੋਡੀਊਲ altmemmult #( ਪੈਰਾਮੀਟਰ coeff_representation = “SIGNED”, parameter coefficient0 = “unused”, ਪੈਰਾਮੀਟਰ data_representation = “Signed”, parameter intended_device_family = “unused”, ਪੈਰਾਮੀਟਰ max_clock_cycles_per_result = 1, AUFM_clock parameter = 1. total_latency = 1, ਪੈਰਾਮੀਟਰ width_c = 1, ਪੈਰਾਮੀਟਰ width_d = 1, ਪੈਰਾਮੀਟਰ width_r = 1, ਪੈਰਾਮੀਟਰ width_s = 1, ਪੈਰਾਮੀਟਰ lpm_type = “altmemmult”, ਪੈਰਾਮੀਟਰ lpm_hint = “unused”) (ਇਨਪੁਟ ਵਾਇਰ ਘੜੀ, ਇਨਪੁਟ ਤਾਰ: 1]coeff_in, ਇਨਪੁਟ ਵਾਇਰ [width_d-0:1] data_in, output wire load_done, ਆਊਟਪੁੱਟ ਵਾਇਰ [width_r-0:1] ਨਤੀਜਾ, ਆਉਟਪੁੱਟ ਵਾਇਰ ਨਤੀਜਾ_valid, ਇਨਪੁਟ ਵਾਇਰ sclr, ਇਨਪੁਟ ਵਾਇਰ [width_s-0:1] sel, ਇਨਪੁਟ ਵਾਇਰ sload_coeff, ਇਨਪੁਟ ਵਾਇਰ sload_data)/* ਸਿੰਥੇਸਿਸ syn_black_box=0 */; endmodule
9.3 VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ
VHDL ਕੰਪੋਨੈਂਟ ਘੋਸ਼ਣਾ VHDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਿਤ ਹੈ File (.vhd) ਵਿੱਚ altera_mf_components.vhd librariesvhdlaltera_mf ਡਾਇਰੈਕਟਰੀ.
ਕੰਪੋਨੈਂਟ altmemmult ਜੈਨਰਿਕ ( coeff_representation:string := “Signed”; coefficient0:string := “UNUSED”; data_representation:string := “SIGNED”; intended_device_family:string := “unused”; max_clock_resentation:=1official_cycles; := 1; ram_block_type:string := "AUTO"; total_latency:natural; width_c:natural; width_d:natural; width_r:natural; width_s:natural := 1; lpm_hint:string := "UNUSED"; lingpm_type "altmemmult"); ਪੋਰਟ( ਘੜੀ: std_logic ਵਿੱਚ; coeff_in: std_logic_vector ਵਿੱਚ (width_c-1 downto 0) := (ਹੋਰ => '0'); data_in: std_logic_vector ਵਿੱਚ(width_d-1 downto 0);
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 58
ਫੀਡਬੈਕ ਭੇਜੋ
9. ALTMEMMULT (ਮੈਮੋਰੀ-ਅਧਾਰਿਤ ਸਥਿਰ ਗੁਣਾਂਕ ਗੁਣਕ) IP ਕੋਰ 683490 | 2020.10.05
load_done: out std_logic; ਨਤੀਜਾ: ਬਾਹਰ std_logic_vector(width_r-1 down to 0); ਨਤੀਜਾ_ਵੈਧ: ਬਾਹਰ std_logic; sclr: std_logic ਵਿੱਚ := '0'; sel:std_logic_vector ਵਿੱਚ(width_s-1 down to 0):= (ਹੋਰ => '0'); sload_coeff: std_logic ਵਿੱਚ := '0'; sload_data: std_logic ਵਿੱਚ := '0'); ਅੰਤ ਭਾਗ;
9.4. ਬੰਦਰਗਾਹਾਂ
ਹੇਠਾਂ ਦਿੱਤੀਆਂ ਟੇਬਲਾਂ ਵਿੱਚ ALTMEMMULT IP ਕੋਰ ਲਈ ਇਨਪੁਟ ਅਤੇ ਆਉਟਪੁੱਟ ਪੋਰਟਾਂ ਦੀ ਸੂਚੀ ਹੈ।
ਸਾਰਣੀ 37. ALTMEMMULT ਇਨਪੁਟ ਪੋਰਟ
ਪੋਰਟ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਘੜੀ
ਹਾਂ
ਗੁਣਕ ਲਈ ਘੜੀ ਇਨਪੁੱਟ।
coeff_in[]
ਨੰ
ਗੁਣਾਕ ਲਈ ਗੁਣਾਂਕ ਇੰਪੁੱਟ ਪੋਰਟ। ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ WIDTH_C ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
data_in[]
ਹਾਂ
ਗੁਣਕ ਨੂੰ ਡਾਟਾ ਇੰਪੁੱਟ ਪੋਰਟ। ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ WIDTH_D ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
sclr
ਨੰ
ਸਮਕਾਲੀ ਸਾਫ਼ ਇੰਪੁੱਟ। ਜੇਕਰ ਅਣਵਰਤਿਆ ਗਿਆ ਹੈ, ਤਾਂ ਡਿਫੌਲਟ ਮੁੱਲ ਸਰਗਰਮ ਉੱਚ ਹੈ।
sel[]
ਨੰ
ਸਥਿਰ ਗੁਣਾਂ ਦੀ ਚੋਣ। ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ WIDTH_S 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ
ਪੈਰਾਮੀਟਰ ਮੁੱਲ.
sload_coeff
ਨੰ
ਸਮਕਾਲੀ ਲੋਡ ਗੁਣਾਂਕ ਇੰਪੁੱਟ ਪੋਰਟ। ਮੌਜੂਦਾ ਚੁਣੇ ਗਏ ਗੁਣਾਂਕ ਮੁੱਲ ਨੂੰ coeff_in ਇਨਪੁੱਟ ਵਿੱਚ ਨਿਰਦਿਸ਼ਟ ਮੁੱਲ ਨਾਲ ਬਦਲਦਾ ਹੈ।
ਸਲੋਡ_ਡਾਟਾ
ਨੰ
ਸਮਕਾਲੀ ਲੋਡ ਡੇਟਾ ਇੰਪੁੱਟ ਪੋਰਟ। ਸਿਗਨਲ ਜੋ ਨਵੇਂ ਗੁਣਾ ਓਪਰੇਸ਼ਨ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ ਅਤੇ ਕਿਸੇ ਵੀ ਮੌਜੂਦਾ ਗੁਣਾ ਓਪਰੇਸ਼ਨ ਨੂੰ ਰੱਦ ਕਰਦਾ ਹੈ। ਜੇਕਰ MAX_CLOCK_CYCLES_PER_RESULT ਪੈਰਾਮੀਟਰ ਦਾ ਮੁੱਲ 1 ਹੈ, ਤਾਂ sload_data ਇਨਪੁਟ ਪੋਰਟ ਨੂੰ ਅਣਡਿੱਠ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਸਾਰਣੀ 38. ALTMEMMULT ਆਉਟਪੁੱਟ ਪੋਰਟ
ਪੋਰਟ ਨਾਮ
ਲੋੜੀਂਦਾ ਹੈ
ਵਰਣਨ
ਨਤੀਜਾ[]
ਹਾਂ
ਗੁਣਕ ਆਉਟਪੁੱਟ ਪੋਰਟ। ਇੰਪੁੱਟ ਪੋਰਟ ਦਾ ਆਕਾਰ WIDTH_R ਪੈਰਾਮੀਟਰ ਮੁੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।
ਨਤੀਜਾ_ਵੈਧ
ਹਾਂ
ਇਹ ਦਰਸਾਉਂਦਾ ਹੈ ਕਿ ਜਦੋਂ ਆਉਟਪੁੱਟ ਪੂਰੇ ਗੁਣਾ ਦਾ ਵੈਧ ਨਤੀਜਾ ਹੁੰਦਾ ਹੈ। ਜੇਕਰ MAX_CLOCK_CYCLES_PER_RESULT ਪੈਰਾਮੀਟਰ ਦਾ ਮੁੱਲ 1 ਹੈ, ਤਾਂ result_valid ਆਉਟਪੁੱਟ ਪੋਰਟ ਦੀ ਵਰਤੋਂ ਨਹੀਂ ਕੀਤੀ ਜਾਂਦੀ।
ਲੋਡ_ਹੋ ਗਿਆ
ਨੰ
ਇਹ ਦਰਸਾਉਂਦਾ ਹੈ ਕਿ ਨਵਾਂ ਗੁਣਾਂਕ ਕਦੋਂ ਲੋਡ ਹੋ ਗਿਆ ਹੈ। load_done ਸਿਗਨਲ ਦਾਅਵਾ ਕਰਦਾ ਹੈ ਜਦੋਂ ਇੱਕ ਨਵਾਂ ਗੁਣਾਂਕ ਲੋਡ ਕਰਨਾ ਪੂਰਾ ਕਰ ਲੈਂਦਾ ਹੈ। ਜਦੋਂ ਤੱਕ load_done ਸਿਗਨਲ ਉੱਚਾ ਨਹੀਂ ਹੁੰਦਾ, ਕੋਈ ਹੋਰ ਗੁਣਾਂਕ ਮੁੱਲ ਮੈਮੋਰੀ ਵਿੱਚ ਲੋਡ ਨਹੀਂ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
9.5. ਪੈਰਾਮੀਟਰ
ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ ALTMEMMULT IP ਕੋਰ ਲਈ ਮਾਪਦੰਡਾਂ ਨੂੰ ਸੂਚੀਬੱਧ ਕਰਦੀ ਹੈ।
ਸਾਰਣੀ 39.
WIDTH_D WIDTH_C
ALTMEMMULT ਪੈਰਾਮੀਟਰ
ਪੈਰਾਮੀਟਰ ਦਾ ਨਾਮ
ਕਿਸਮ ਦੀ ਲੋੜ ਹੈ
ਵਰਣਨ
ਪੂਰਨ ਅੰਕ ਹਾਂ
ਡੇਟਾ_ਇਨ[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ।
ਪੂਰਨ ਅੰਕ ਹਾਂ
coeff_in[] ਪੋਰਟ ਦੀ ਚੌੜਾਈ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਜਾਰੀ…
ਫੀਡਬੈਕ ਭੇਜੋ
Intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ ਉਪਭੋਗਤਾ ਗਾਈਡ 59
9. ALTMEMMULT (ਮੈਮੋਰੀ-ਅਧਾਰਿਤ ਸਥਿਰ ਗੁਣਾਂਕ ਗੁਣਕ) IP ਕੋਰ 683490 | 2020.10.05
ਪੈਰਾਮੀਟਰ ਨਾਮ WIDTH_R WIDTH
ਦਸਤਾਵੇਜ਼ / ਸਰੋਤ
![]() |
intel FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ [pdf] ਯੂਜ਼ਰ ਗਾਈਡ FPGA ਪੂਰਨ ਅੰਕ ਅੰਕਗਣਿਤ IP ਕੋਰ, ਪੂਰਨ ਅੰਕ ਗਣਿਤ IP ਕੋਰ, ਅੰਕਗਣਿਤ IP ਕੋਰ, IP ਕੋਰ |