MICROCHIP AN5864 PolarFire Mid Range FPGAs User Manual

AN5864 PolarFire Mid Range FPGAs

លក្ខណៈបច្ចេកទេស

  • Transceiver Mode: PCS 8B10B
  • Data Width: 32-bit
  • Transceiver Lanes: Single
  • Interface: SFP+ and SMA
  • Data Rate: 3.125 GHz

ការណែនាំអំពីការប្រើប្រាស់ផលិតផល

Aurora Reference Design Overview

The Aurora 8B/10B IP supports a 32-bit data width and single
transceiver lanes. This reference design enables full-duplex data
transfer on Microchip PolarFire boards.

ការបញ្ជាក់ការរចនាយោង

This section provides a list of reference design
លក្ខណៈ​ពិសេស

ព័ត៌មានរចនា

Aurora Tx Generator

1. Connect the cable in loopback mode from TX to RX on the
ក្តារ។

2. Initialize LANE and CHANNEL for data transfer.

3. Assert the AURORA_TX_GENERATOR.CHANNEL_UP signal to start
generating test patterns.

4. Test sequences include PRBS, UFC, and NFC patterns with
specific contents and sizes.

Aurora Rx Checker

1. Initialize LANE and CHANNEL for data reception.

2. Verify data received from the Aurora 8B/10B IP through the
AXI4-Stream interface.

3. Monitor port information for mismatch errors in received
ទិន្នន័យ។

ការកំណត់រចនាសម្ព័ន្ធឧបករណ៍បញ្ជូន

  1. Configure PF_TX_PLL IP to generate a 6250 Mbps bit clock for
    PF_XCVR_ERM using the 125 MHz clock source.
  2. Set up PF_XCVR_ERM for full-duplex operation with a single lane
    and a data rate of 3.125 GHz.
  3. Configure the PCS interface width to 32 bits with 8B/10B
    encoding and decoding.

សំណួរគេសួរញឹកញាប់

Q: What should I do if I encounter mismatch errors in received
ទិន្នន័យ?

A: If you encounter errors such as ERR_PDU, ERR_UFC, or ERR_NFC,
check the signal hierarchy and ensure proper configuration of the
transceiver and modules for accurate data transmission and
ទទួលភ្ញៀវ។

“`

Aurora Reference Design
AN5864
សេចក្តីផ្តើម (សួរសំណួរ)
This document provides instructions for using the Aurora 8B/10B IP on the PolarFire® Evaluation Board. The
PolarFire high-speed transceiver (PF_XCVR_ERM) must be configured in PCS 8B10B mode along with the Aurora 8B/10B IP. The AURORA_TX_GENERATOR and AURORA_RX_CHECKER modules are used to generate and verify data through the AXI4-Stream interface. The Evaluation Board has two interfaces: SFP+ and SMA. This reference design supports both configurations. The cable must be connected in loopback mode from TX to RX on the board.

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 1

AN5864
តារាងមាតិកា
Introduction……………………………………………………………………………………………………………………………………………………… 1 1. Overview…………………………………………………………………………………………………………………………………………………….3 2. Reference Design Specifications………………………………………………………………………………………………………………….4 3. Design Information……………………………………………………………………………………………………………………………………. 5
3.1. Aurora Tx Generator…………………………………………………………………………………………………………………………5 3.2. Aurora Rx Checker…………………………………………………………………………………………………………………………… 6 3.3. Transceiver Configuration…………………………………………………………………………………………………………………6 4. Libero Project……………………………………………………………………………………………………………………………………………..8 4.1. Timing Constraints…………………………………………………………………………………………………………………………… 8 4.2. Interface Constraints……………………………………………………………………………………………………………………….. 8 5. Simulation……………………………………………………………………………………………………………………………………………….. 10 6. Debug and Testing…………………………………………………………………………………………………………………………………… 12 7. Revision History……………………………………………………………………………………………………………………………………….. 16 Microchip FPGA Support………………………………………………………………………………………………………………………………….17 Microchip Information……………………………………………………………………………………………………………………………………. 17 Trademarks……………………………………………………………………………………………………………………………………………… 17 Legal Notice………………………………………………………………………………………………………………………………………………17 Microchip Devices Code Protection Feature………………………………………………………………………………………………18

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 2

AN5864 Overview

1.

ជាងview (សួរ​សំណួរ​មួយ)

The Aurora 8B/10B IP uses AXI4-Stream as the user interface for communication. This reference design includes two modules, AURORA_TX_GENERATOR and AURORA_RX_CHECKER, for testing. The high-speed transceiver block supports data rates ranging from 250 Mbps to 12.7 Gbps. The transceiver (PF_XCVR_ERM) module integrates multiple functional blocks to enable high-speed serial data transfer within the FPGA. The transceiver used in the Aurora 8B/10B applications has a bandwidth limited to a line rate range of 0.5 Gb/s to 6.6 Gb/s.

The Aurora 8B/10B IP supports a 32-bit data width and single transceiver lanes. This reference design can be implemented on two Microchip PolarFire boards to enable full-duplex data transfer.

Figure 1-1. Aurora 8B/10B IP Reference Design Block Diagram

Aurora TX Generator
PRBS data Generator UFC Generator NFC Generator
Aurora RX Generator
PRBS data Checker UFC Checker NFC Checker

PolarFire® Aurora IP

PF_XCVR_ERM

Loopback by SFP+ or SMA Connector

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 3

2. Reference Design Specifications (Ask a Question)
This section provides a list of reference design specifications.
· Full-duplex mode · Single-lane channel · Data rate: 3.125 Gbps · Payload Data Unit (PDU): 32-bit data width · Test User Flow Control (UFC) and Native Flow Control (NFC)

AN5864 Reference Design Specifications

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 4

AN5864 Design Information

3.
០១.

Design Information (Ask a Question)
ផ្នែកនេះផ្តល់នូវការបញ្ចប់view of the modules included in the design.
Aurora Tx Generator (Ask a Question)
When the LANE and CHANNEL are successfully initialized, the AURORA_TX_GENERATOR.CHANNEL_UP signal is asserted. The generator then starts producing test patterns and sends them to the Aurora 8B/10B IP through the AXI4-Stream interface.
· Data Transmission: The PRBS data pattern is used to test the TX user interface. The data word size increases incrementally in each frame, ranging from 1 to 255 (1 word = 32 bits). A short random number of cycles is inserted between frames.

Figure 3-1. Data Test Sequence

Random number of empty cycles

1 word PRBS

2 words PRBS

254 words PRBS

255 words PRBS

· UFC Message Transmission: Pre-set values are used to test the TX UFC user interface. According to the Aurora 8B/10B specification, the UFC size range is an even number of octets, between 2 and 16.

Figure 3-2. UFC Test Sequence

Random number of empty cycles

SIZE

2 octets

4 octets

14 octets

16 octets

មាតិកា

0xFC01

0xFC02

0xFC03

0xFCFE

0xFCFF

· NFC Message Transmission: The sequence of pause numbers follows the pattern 1 to 8, then 0xF and finally 0. According to the Aurora 8B/10B specification, the NFC data range is from 1 to 8. A value of 0xF instructs the channel partner to stop transmitting data, while a value of 0 instructs it to resume transmission.

Figure 3-3. NFC Test Sequence

PAUSE Interval

1

2

7

8

0xF (XOFF)

0 (XON)

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 5

១. ២.

AN5864 Design Information
Aurora Rx Checker (Ask a Question)
After the LANE and CHANNEL are successfully initialized, the CHECKER module starts verifying the data received from the Aurora 8B/10B IP through the AXI4-Stream interface.
The following table provides port information. These ports indicate respective data mismatch errors.

Table 3-1. Aurora Rx Checker
Signal Hierarchy AURORA_RX_CHECKER.ERR_PDU AURORA_RX_CHECKER.ERR_UFC AURORA_RX_CHECKER.ERR_NFC

Description Indicates a mismatch in the received PDU data. Indicates a mismatch in the received UFC message. Indicates a mismatch in the received NFC message.

Transceiver Configuration (Ask a Question)
PolarFire Aurora 8B/10B IP does not include transceiver PMA and PCS. The following figure shows
the configuration of Transceiver IP in Libero® design.
1. The PolarFire Evaluation Board provides a 125 MHz clock source. Configure the PF_TX_PLL IP to generate a 6250 Mbps bit clock for PF_XCVR_ERM.

Figure 3-4. Transmit PLL

2. Configure PF_XCVR_ERM for full-duplex operation with a single lane and a data rate of 3.125 GHz.
3. Configure the PCS interface width to 32 bits with 8B/10B encoding and decoding.

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 6

Figure 3-5. Transceiver Interface

AN5864 Design Information

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 7

AN5864 Libero Project

4.

Libero Project (Ask a Question)

In addition to the main functional block, there are additional modules such as CCC, reset_syn and INIT_Monitor, which are responsible for generating the system clock and reset.

The following figure shows the top-level Libero design of the high-speed data transfer using Aurora 8B/10B IP.

Figure 4-1. Libero Project

១. ២.

Timing Constraints (Ask a Question)
The following constraint describes the frequency of SYS_CLK is 125 MHz, TX_CLK and RX_CLK are 78.125 MHz.
The false path ARSTN is an asynchronous signal.
create_clock -name {REF_CLK_PAD_P} -period 8 -waveform {0 4 } [ get_ports { SYS_CLK } ] create_clock -name {PF_XCVR_ERM_C1_0/I_XCVR/LANE0/TX_CLK_R} -period 12.8 [ get_ports { TX_CLK } ] create_clock -name {PF_XCVR_ERM_C1_0/I_XCVR/LANE0/RX_CLK_R} -period 12.8 [ get_ports { RX_CLK } ] set_false_path -from [ get_ports { ARSTN } ] set_clock_groups -asynchronous -group [ get_clocks { PF_XCVR_ERM_C1_0/I_XCVR/LANE0/ TX_CLK_R } ] set_clock_groups -asynchronous -group [ get_clocks { PF_XCVR_ERM_C1_0/I_XCVR/LANE0/RX_CLK_R }] Interface Constraints (Ask a Question)
This section describes interface constraints.
· Constraints for SFP+ connector
set_io -port_name LANE0_RXD_N -pin_name AD30 -DIRECTION INPUT set_io -port_name LANE0_RXD_P -pin_name AD29 -DIRECTION INPUT

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 8

set_io -port_name LANE0_TXD_N -pin_name AE32 -DIRECTION OUTPUT set_io -port_name LANE0_TXD_P -pin_name AE31 -DIRECTION OUTPUT

· Constraints for SMA connector

set_io -port_name LANE0_RXD_N set_io -port_name LANE0_RXD_P set_io -port_name LANE0_TXD_N set_io -port_name LANE0_TXD_P

-pin_name AC32 -DIRECTION INPUT -pin_name AC31 -DIRECTION INPUT -pin_name AD34 -DIRECTION OUTPUT -pin_name AD33 -DIRECTION OUTPUT

AN5864 Libero Project

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 9

AN5864 Simulation

5.

ការក្លែងធ្វើ (សួរសំណួរ)

A loopback simulation testbench is provided. The following key observations can be made from the waveform:

· When both PF_XCVR_ERM.RX_VAL and PF_XCVR_ERM.RX_READY are asserted, it indicates that the receiver of PF_XCVR_ERM has completed initialization. The Aurora 8B/10B IP will start operating upon receiving these signals.

Figure 5-1. Simulation

· During the time interval from RX_VAL to CHANNEL_READY, the Aurora 8B/10B IP undergoes initialization and verification. The user application system must wait until CHANNEL_READY is asserted before starting operation.
Figure 5-2. CHANNEL_READY is Asserted before Starting Operation

· The TX_GENERATOR module starts transmitting test patterns to Aurora 8B/10B IP after CHANNEL_READY is asserted.
Figure 5-3. CHANNEL_READY is Asserted

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 10

AN5864 Simulation
· The AURORA_RX_CHECKER module starts verifying the received data after CHANNEL_UP is asserted.
· The PDU, UFC and NFC data are continuously checked. The ERR_PDU, ERR_UFC and ERR_NFC signals must remain low; otherwise, a data mismatch is detected.
Figure 5-4. Data Mismatch Detected

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 11

AN5864 Debug and Testing

6.

Debug and Testing (Ask a Question)

Use the Identify® Debug Design tool to monitor signals in the design.

1. CHANNEL_UP
­ This signal should be asserted after the cable is plugged in and the initialization process is complete.

2. ERR_PDU / ERR_UFC / ERR_NFC ­ These signals should always remain low.

­ Set a trigger in tool to monitor for errors.

3. Status LEDs ­ LED4: DATA error. LED illumination indicates an error for data.

­ LED5: UFC error. LED illumination indicates an error for UFC.

­ LED6: NFC error. LED illumination indicates an error for UFC.

­ LED7: CHANNEL READY. LED illumination indicates successful initialization.

The following figure shows the Identify Debug Design Tool.

Figure 6-1. Debug and Testing

The following figure shows results in the waveform viewអេ

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 12

Figure 6-2. Monitor Error

AN5864 Debug and Testing

To test the hardware setup, follow the instructions below: 1. Close jumper J46. 2. Connect SPF+ and optical cable in loop-back mode. 3. Observe the status of LEDs. The following figure shows the setup of a PolarFire development board.

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 13

រូបភាពទី 6-3 ។ ការដំឡើងក្តារ

AN5864 Debug and Testing

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 14

Figure 6-4. Status of LEDs

AN5864 Debug and Testing

Important: · LED4: PDU error status, ON indicates, an error has detected. · LED5: UFC error status, ON indicates, an error has detected. · LED6: NFC error status, ON indicates, an error has detected. · LED7: Channel ready status, ON indicates, the channel has initialized.

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 15

AN5864 Revision History

7.

ប្រវត្តិនៃការកែប្រែ (សួរសំណួរ)

ប្រវត្តិកែប្រែពិពណ៌នាអំពីការផ្លាស់ប្តូរដែលត្រូវបានអនុវត្តនៅក្នុងឯកសារ។ ការផ្លាស់ប្តូរត្រូវបានរាយបញ្ជីដោយការកែប្រែ ដោយចាប់ផ្តើមជាមួយនឹងការបោះពុម្ពផ្សាយបច្ចុប្បន្នបំផុត។

ការពិនិត្យឡើងវិញអេ

កាលបរិច្ឆេទ 05/2025

Description Initial revision.

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 16

AN5864

ការគាំទ្រ Microchip FPGA
ក្រុមផលិតផល Microchip FPGA គាំទ្រផលិតផលរបស់ខ្លួនជាមួយនឹងសេវាកម្មគាំទ្រផ្សេងៗ រួមទាំងសេវាអតិថិជន មជ្ឈមណ្ឌលជំនួយបច្ចេកទេសអតិថិជន ក webគេហទំព័រ និងការិយាល័យលក់ទូទាំងពិភពលោក។ អតិថិជនត្រូវបានស្នើឱ្យចូលមើលធនធានលើបណ្តាញ Microchip មុនពេលទាក់ទងផ្នែកជំនួយព្រោះវាទំនងណាស់ដែលសំណួររបស់ពួកគេត្រូវបានឆ្លើយរួចហើយ។
ទាក់ទងមជ្ឈមណ្ឌលគាំទ្របច្ចេកទេសតាមរយៈ webគេហទំព័រ www.microchip.com/support ។ រៀបរាប់លេខផ្នែកឧបករណ៍ FPGA ជ្រើសរើសប្រភេទករណីដែលសមស្រប និងការរចនាបង្ហោះ files ខណៈពេលដែលបង្កើតករណីជំនួយបច្ចេកទេស។
ទាក់ទងផ្នែកបម្រើអតិថិជនសម្រាប់ការគាំទ្រផលិតផលដែលមិនមែនជាបច្ចេកទេស ដូចជាតម្លៃផលិតផល ការធ្វើឱ្យប្រសើរផលិតផល ព័ត៌មានបច្ចុប្បន្នភាព ស្ថានភាពការបញ្ជាទិញ និងការអនុញ្ញាត។
· មកពីអាមេរិកខាងជើង ហៅទៅ 800.262.1060
· ពីជុំវិញពិភពលោក ទូរស័ព្ទទៅ 650.318.4460
· ទូរសារពីគ្រប់ទិសទីក្នុងពិភពលោក 650.318.8044
ព័ត៌មានមីក្រូឈីប
ពាណិជ្ជសញ្ញា
ឈ្មោះ និងស្លាកសញ្ញា "Microchip" និមិត្តសញ្ញា "M" និងឈ្មោះផ្សេងទៀត និមិត្តសញ្ញា និងម៉ាកនានាត្រូវបានចុះបញ្ជី និងមិនបានចុះបញ្ជីពាណិជ្ជសញ្ញានៃ Microchip Technology Incorporated ឬសាខា និង/ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួននៅសហរដ្ឋអាមេរិក និង/ឬប្រទេសផ្សេងទៀត ("Microchip Trademarks")។ ព័ត៌មានទាក់ទងនឹងពាណិជ្ជសញ្ញា Microchip អាចរកបាននៅ https://www.microchip.com/en-us/about/legalinformation/microchip-trademarks ។
ISBN: 979-8-3371-1155-1
សេចក្តីជូនដំណឹងផ្លូវច្បាប់
ការបោះពុម្ពផ្សាយនេះ និងព័ត៌មាននៅទីនេះអាចប្រើតែជាមួយផលិតផល Microchip ប៉ុណ្ណោះ រួមទាំងការរចនា សាកល្បង និងរួមបញ្ចូលផលិតផល Microchip ជាមួយកម្មវិធីរបស់អ្នក។ ការប្រើប្រាស់ព័ត៌មាននេះក្នុងលក្ខណៈផ្សេងទៀតបំពានលក្ខខណ្ឌទាំងនេះ។ ព័ត៌មានទាក់ទងនឹងកម្មវិធីឧបករណ៍ត្រូវបានផ្តល់ជូនសម្រាប់ភាពងាយស្រួលរបស់អ្នកប៉ុណ្ណោះ ហើយអាចត្រូវបានជំនួសដោយការអាប់ដេត។ វាជាទំនួលខុសត្រូវរបស់អ្នកក្នុងការធានាថាកម្មវិធីរបស់អ្នកត្រូវនឹងលក្ខណៈជាក់លាក់របស់អ្នក។ ទាក់ទងការិយាល័យលក់ Microchip ក្នុងតំបន់របស់អ្នកសម្រាប់ការគាំទ្របន្ថែម ឬទទួលបានជំនួយបន្ថែមនៅ www.microchip.com/en-us/support/design-help/ client-support-services។
ព័ត៌មាននេះត្រូវបានផ្តល់ដោយមីក្រូឈីប “ដូចដែល”។ មីក្រូឈីបមិនតំណាងឱ្យ ឬការធានានៃប្រភេទណាមួយឡើយ ទោះជាបញ្ជាក់ ឬបង្កប់ន័យ សរសេរ ឬផ្ទាល់មាត់ លក្ខន្តិកៈ ឬបើមិនដូច្នេះទេ ពាក់ព័ន្ធនឹងព័ត៌មានដែលរួមបញ្ចូល ប៉ុន្តែមិនកំណត់ចំពោះពេលវេលា ការ​មិន​បំពាន​លើ​ការ​លក់​ដូរ និង​ភាព​សម​ស្រប​សម្រាប់​គោល​បំណង​ពិសេស ឬ​ការ​ធានា​ទាក់​ទង​នឹង​លក្ខខណ្ឌ គុណភាព ឬ​ប្រតិបត្តិការ​របស់​វា។
នៅក្នុងករណីគ្មានមីក្រូឈីបនឹងទទួលខុសត្រូវចំពោះការខូចខាតដោយអចេតនា ពិសេស ការដាក់ទណ្ឌកម្ម ឧប្បត្តិហេតុ ឬជាផលវិបាកនៃការបាត់បង់ ការខូចខាត ថ្លៃដើម ឬការចំណាយនៃប្រភេទណាមួយដែលទាក់ទងនឹងការប្រើប្រាស់ ឬស្ថានភាពប្រែប្រួល មីក្រូឈីបត្រូវបានណែនាំពីលទ្ធភាព ឬការខូចខាតគឺអាចមើលបាន ក្នុងវិសាលភាពពេញលេញបំផុតដែលច្បាប់អនុញ្ញាត ការទទួលខុសត្រូវសរុបរបស់មីក្រូឈីប លើការទាមទារទាំងអស់ តាមរបៀបណាក៏ដោយ ដែលទាក់ទងនឹងព័ត៌មាន ឬការប្រើប្រាស់របស់វា នឹងមិនលើសពីចំនួននៃថ្លៃសេវានោះទេ ប្រសិនបើមាន ដែលអ្នកមាន ព័ត៌មាន។
ការប្រើប្រាស់ឧបករណ៍ Microchip នៅក្នុងកម្មវិធីជំនួយអាយុជីវិត និង/ឬកម្មវិធីសុវត្ថិភាពគឺស្ថិតក្នុងហានិភ័យរបស់អ្នកទិញទាំងស្រុង ហើយអ្នកទិញយល់ព្រមការពារ ទូទាត់សំណង និងកាន់ Microchip ដែលគ្មានគ្រោះថ្នាក់ពីការខូចខាត ការទាមទារ ការប្តឹងផ្តល់ ឬការចំណាយដែលបណ្តាលមកពីការប្រើប្រាស់បែបនេះ។ គ្មានអាជ្ញាប័ណ្ណណាមួយត្រូវបានបញ្ជូនដោយប្រយោល ឬបើមិនដូច្នេះទេ នៅក្រោមកម្មសិទ្ធិបញ្ញារបស់ Microchip ណាមួយ លើកលែងតែមានចែងផ្សេងពីនេះ។

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 17

AN5864
មុខងារការពារលេខកូដឧបករណ៍មីក្រូឈីប
ចំណាំព័ត៌មានលម្អិតខាងក្រោមនៃមុខងារការពារកូដនៅលើផលិតផល Microchip៖
· Microchip products meet the specifications contained in their particular Microchip Data Sheet. · Microchip believes that its family of products is secure when used in the intended manner, within
operating specifications, and under normal conditions. · Microchip values and aggressively protects its intellectual property rights. Attempts to breach the
code protection features of Microchip products are strictly prohibited and may violate the Digital Millennium Copyright Act. · Neither Microchip nor any other semiconductor manufacturer can guarantee the security of its code. Code protection does not mean that we are guaranteeing the product is “unbreakable”. Code protection is constantly evolving. Microchip is committed to continuously improving the code protection features of our products.

ចំណាំកម្មវិធី
© 2025 Microchip Technology Inc. និងក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។

DS00005864A – 18

ឯកសារ/ធនធាន

MICROCHIP AN5864 PolarFire Mid Range FPGAs [pdf] សៀវភៅណែនាំអ្នកប្រើប្រាស់
AN5864, AN5864 PolarFire Mid Range FPGAs, AN5864, PolarFire Mid Range FPGAs, Range FPGAs, FPGAs

ឯកសារយោង

ទុកមតិយោបល់

អាសយដ្ឋានអ៊ីមែលរបស់អ្នកនឹងមិនត្រូវបានផ្សព្វផ្សាយទេ។ វាលដែលត្រូវការត្រូវបានសម្គាល់ *