FPGA ចំនួនគត់នព្វន្ធ IP ស្នូល

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores
បានធ្វើបច្ចុប្បន្នភាពសម្រាប់ Intel® Quartus® Prime Design Suite: 20.3

កំណែអនឡាញ ផ្ញើមតិកែលម្អ

UG-០៦

លេខសម្គាល់៖ 683490 កំណែ៖ 2020.10.05

មាតិកា
មាតិកា
1. Intel FPGA Integer Arithmetic IP Cores ………………………………………………………………… 5
2. LPM_COUNTER (Counter) IP Core………………………………………………………………….. ៧ ២.១. លក្ខណៈ………………………………………………………………………………………………………… ៧ ២.២. Verilog HDL Prototype……………………………………………………………………………….. ៨ ២.៣. សេចក្តីប្រកាសសមាសធាតុ VHDL ……………………………………………………………………………….7 ២.៤. VHDL LIBRARY_USE Declaration……………………………………………………………………………… ៩ ២.៥. ច្រក…………………………………………………………………………………………………………..៩ ២.៦. ប៉ារ៉ាម៉ែត្រ…………………………………………………………………………………………… ១០
3. LPM_DIVIDE (Divider) Intel FPGA IP Core…………………………………………………….. 12 ៣.១. លក្ខណៈពិសេស……………………………………………………………………………………………។ ១២ ៣.២. Verilog HDL Prototype……………………………………………………………………………… ១២ ៣.៣. សេចក្តីប្រកាសសមាសធាតុ VHDL …………………………………………………………………….. ១៣ ៣.៤. សេចក្តីប្រកាស VHDL LIBRARY_USE …………………………………………………………………. ១៣ ៣.៥. ច្រក………………………………………………………………………………………………………… ១៣ ៣.៦. ប៉ារ៉ាម៉ែត្រ…………………………………………………………………………………………… ១៤
4. LPM_MULT (មេគុណ) IP Core …………………………………………………………………. ១៦ ៤.១. លក្ខណៈពិសេស……………………………………………………………………………………………។ ១៦ ៤.២. Verilog HDL Prototype……………………………………………………………………………… ១៧ ៤.៣. សេចក្តីប្រកាសសមាសភាគ VHDL …………………………………………………………………….. ១៧ ៤.៤. សេចក្តីប្រកាស VHDL LIBRARY_USE …………………………………………………………………. ១៧ ៤.៥. សញ្ញា………………………………………………………………………………………………………… 16 ៤.៦. ប៉ារ៉ាម៉ែត្រសម្រាប់ឧបករណ៍ Stratix V, Arria V, Cyclone V និង Intel Cyclone 4.1 LP Devices…………… 16 ៤.៦.១. ផ្ទាំងទូទៅ…………………………………………………………………………………… ១៨ ៤.៦.២. ទូទៅ 4.2 Tab……………………………………………………………………………… 17 ៤.៦.៣. ផ្ទាំងបំពង់……………………………………………………………………………… 4.3 ៤.៧. ប៉ារ៉ាម៉ែត្រសម្រាប់ Intel Stratix 17, Intel Arria 4.4, និង Intel Cyclone 17 GX Devices……….. 4.5 ៤.៧.១. ផ្ទាំងទូទៅ……………………………………………………………………………… 18 ៤.៧.២. ផ្ទាំង 4.6 ទូទៅ……………………………………………………………………………… 10 ៤.៧.៣. ការដាក់បំពង់…………………………………………………………………………………………… ២១
5. LPM_ADD_SUB (អ្នកបន្ថែម/ដក)……………………………………………………………………………… 22 ៥.១. លក្ខណៈពិសេស……………………………………………………………………………………………។ ២២ ៥.២. Verilog HDL Prototype……………………………………………………………………………… ២៣ ៥.៣. សេចក្តីប្រកាសសមាសភាគ VHDL …………………………………………………………………….. ២៣ ៥.៤. សេចក្តីប្រកាស VHDL LIBRARY_USE …………………………………………………………………. ២៣ ៥.៥. ច្រក………………………………………………………………………………………………………… ២៣ ៥.៦. ប៉ារ៉ាម៉ែត្រ…………………………………………………………………………………………… ២៤
6. LPM_COMPARE (អ្នកប្រៀបធៀប) ………………………………………………………………………………… ២៦ ៦.១. លក្ខណៈពិសេស……………………………………………………………………………………………។ ២៦ ៦.២. Verilog HDL Prototype……………………………………………………………………………… ២៧ ៦.៣. សេចក្តីប្រកាសសមាសភាគ VHDL …………………………………………………………………….. ២៧ ៦.៤. សេចក្តីប្រកាស VHDL LIBRARY_USE …………………………………………………………………. ២៧ ៦.៥. ច្រក………………………………………………………………………………………………………… ២៧ ៦.៦. ប៉ារ៉ាម៉ែត្រ…………………………………………………………………………………………… ២៨

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 2

ផ្ញើមតិកែលម្អ

មាតិកា

7. ALTECC (លេខកូដកែកំហុស៖ អ៊ិនកូដឌ័រ/ឌិកូដ) IP Core ……………………………………… 30
៧.១. លក្ខណៈពិសេស ALTECC Encoder………………………………………………………………………………..៣១ ៧.២. Verilog HDL Prototype (ALTECC_ENCODER) ……………………………………………………. ៣២ ៧.៣. Verilog HDL Prototype (ALTECC_DECODER) ……………………………………………………. ៣២ ៧.៤. សេចក្តីប្រកាសសមាសធាតុ VHDL (ALTECC_ENCODER) …………………………………………………… ៣៣ ៧.៥. សេចក្តីប្រកាសសមាសភាគ VHDL (ALTECC_DECODER)…………………………………………………… 7.1 31. សេចក្តីប្រកាស VHDL LIBRARY_USE …………………………………………………………………. ៣៣ ៧.៧. Encoder Ports …………………………………………………………………………………………… ៣៣ ៧.៨. ច្រកឌិកូដ…………………………………………………………………………………………… ៣៤ ៧.៩. ប៉ារ៉ាម៉ែត្រអ៊ិនកូដឌ័រ……………………………………………………………………………… ៣៤ ៧.១០. ប៉ារ៉ាម៉ែត្រឌិកូដ……………………………………………………………………………… ៣៥
8. Intel FPGA Multiply Adder IP Core……………………………………………………………………. ៣៦
៨.១. លក្ខណៈពិសេស……………………………………………………………………………………………។ ៣៧ ៨.១.១. Pre-adder ………………………………………………………………………………….. ៣៨ ៨.១.២. Systolic Delay Register………………………………………………………………….. 8.1 ៨.១.៣. Pre-load Constant……………………………………………………………………………… ៤៣ ៨.១.៤. Double Accumulator ……………………………………………………………………………… ៤៣
៨.២. Verilog HDL Prototype……………………………………………………………………………… ៤៤ ៨.៣. សេចក្តីប្រកាសសមាសភាគ VHDL ………………………………………………………………….. ៤៤ ៨.៤. VHDL LIBRARY_USE សេចក្តីប្រកាស…………………………………………………………………។ ៤៤ ៨.៥. សញ្ញា………………………………………………………………………………………………………… ៤៤ ៨.៦. ប៉ារ៉ាម៉ែត្រ…………………………………………………………………………………………… ៤៧
៨.៦.១. ផ្ទាំងទូទៅ…………………………………………………………………………………………… ៤៧ ៨.៦.២. Extra Modes Tab………………………………………………………………………….. ៤៧ ៨.៦.៣. ផ្ទាំងមេគុណ…………………………………………………………………………….. ៤៩ ៨.៦.៤. ផ្ទាំង Preadder ………………………………………………………………………………។ ៥១ ៨.៦.៥. Accumulator Tab………………………………………………………………………….. ៥៣ ៨.៦.៦. ផ្ទាំងស៊ីស្តូលិក/ខ្សែសង្វាក់……………………………………………………………………. ៥៥ ៨.៦.៧. ផ្ទាំងបំពង់……………………………………………………………………………… ៥៦
9. ALTMEMMULT (មេគុណមេគុណថេរផ្អែកលើអង្គចងចាំ) IP Core…………………… 57
៩.១. លក្ខណៈពិសេស……………………………………………………………………………………………។ ៥៧ ៩.២. Verilog HDL Prototype……………………………………………………………………………… ៥៨ ៩.៣. VHDL Component Declaration………………………………………………………………….. ៥៨ ៩.៤. ច្រក…………………………………………………………………………………………………… ៥៩ ៩.៥. ប៉ារ៉ាម៉ែត្រ…………………………………………………………………………………………… ៥៩
10. ALTMULT_ACCUM (Multiply-Accumulate) IP Core…………………………………………………… 61
១០.១. លក្ខណៈ…………………………………………………………………………………………….. ៦២ ១០.២. Verilog HDL Prototype……………………………………………………………………..10.1 ១០.៣. សេចក្តីប្រកាសសមាសធាតុ VHDL……………………………………………………………………………… ៦៣ ១០.៤. VHDL LIBRARY_USE Declaration………………………………………………………………… ៦៣ ១០.៥. ច្រក…………………………………………………………………………………………………………។ ៦៣ ១០.៦. ប៉ារ៉ាម៉ែត្រ……………………………………………………………………………………………។ ៦៤
11. ALTMULT_ADD (Multiply-Adder) IP Core ……………………………………………………..69
១១.១. លក្ខណៈ…………………………………………………………………………………………….. ៧១ ១១.២. Verilog HDL Prototype……………………………………………………………………..11.1 71. សេចក្តីប្រកាសសមាសធាតុ VHDL……………………………………………………………………………… ៧២ ១១.៤. VHDL LIBRARY_USE សេចក្តីប្រកាស………………………………………………………………… ៧២

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 3

មាតិកា
១១.៥. ច្រក…………………………………………………………………………………………………………។ ៧២ ១១.៦. ប៉ារ៉ាម៉ែត្រ……………………………………………………………………………………………។ ៧៣
12. ALTMULT_COMPLEX (មេគុណស្មុគស្មាញ) IP Core……………………………………………… 86 12.1. ពហុគុណស្មុគស្មាញ………………………………………………………………………………។ ៨៦ ១២.២. តំណាង Canonical……………………………………………………………………………… ៨៧ ១២.៣. តំណាងសាមញ្ញ…………………………………………………………………។ ៨៧ ១២.៤. លក្ខណៈ…………………………………………………………………………………………….. ៨៨ ១២.៥. Verilog HDL Prototype……………………………………………………………………..86 ១២.៦. សេចក្តីប្រកាសសមាសភាគ VHDL……………………………………………………………………………… ៨៩ ១២.៧. VHDL LIBRARY_USE Declaration………………………………………………………………… ៨៩ ១២.៨. សញ្ញា……………………………………………………………………………………………។ ៨៩ ១២.៩. ប៉ារ៉ាម៉ែត្រ……………………………………………………………………………………………។ ៩០
13. ALTSQRT (Integer Square Root) IP Core……………………………………………………92 13.1. លក្ខណៈ…………………………………………………………………………………………….. ៩២ ១៣.២. Verilog HDL Prototype……………………………………………………………………..92 13.2. សេចក្តីប្រកាសសមាសធាតុ VHDL……………………………………………………………………………… ៩៣ ១៣.៤. VHDL LIBRARY_USE Declaration………………………………………………………………… ៩៣ ១៣.៥. ច្រក…………………………………………………………………………………………………………។ ៩៣ ១៣.៦. ប៉ារ៉ាម៉ែត្រ……………………………………………………………………………………………។ ៩៤
14. PARALLEL_ADD (Parallel Adder) IP Core…………………………………………………….. 95 14.1. លក្ខណៈ……………………………………………………………………………………………….៩៥ ១៤.២. Verilog HDL Prototype……………………………………………………………………..95 14.2. សេចក្តីប្រកាសសមាសធាតុ VHDL……………………………………………………………………………… ៩៦ ១៤.៤. VHDL LIBRARY_USE Declaration………………………………………………………………… ៩៦ ១៤.៥. ច្រក…………………………………………………………………………………………………………។ ៩៦ ១៤.៦. ប៉ារ៉ាម៉ែត្រ……………………………………………………………………………………………។ ៩៧
15. Integer Arithmetic IP Cores User Guide Document Archives……………………………………… 98
16. ប្រវត្តិនៃការកែប្រែឯកសារសម្រាប់ Intel FPGA Integer Arithmetic IP Cores User Guide…. ៩៩

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 4

ផ្ញើមតិកែលម្អ

683490 | 2020.10.05 ផ្ញើមតិកែលម្អ

1. Intel FPGA Integer Arithmetic IP Cores

អ្នកអាចប្រើ Intel® FPGA integer IP cores ដើម្បីអនុវត្តប្រតិបត្តិការគណិតវិទ្យាក្នុងការរចនារបស់អ្នក។

មុខងារទាំងនេះផ្តល់នូវការសំយោគតក្កវិជ្ជា និងការអនុវត្តឧបករណ៍ប្រកបដោយប្រសិទ្ធភាពជាងការសរសេរកូដមុខងារផ្ទាល់ខ្លួនរបស់អ្នក។ អ្នក​អាច​ប្ដូរ​ស្នូល IP តាម​បំណង​ដើម្បី​សម្រប​តាម​តម្រូវការ​រចនា​របស់​អ្នក។

Intel integer arithmetic cores ត្រូវបានបែងចែកទៅជាពីរប្រភេទដូចខាងក្រោម៖ · Library of parameterized modules (LPM) IP cores · Intel-specific (ALT) IP cores

តារាងខាងក្រោមរាយបញ្ជីស្នូល IP នព្វន្ធចំនួនគត់។

តារាង 1 ។

បញ្ជីនៃស្នូល IP

ស្នូល IP

ស្នូល IP របស់ LPM

LPM_COUNTER

LPM_DIVIDE

LPM_MULT

LPM_ADD_SUB
LPM_COMPARE
Intel-specific (ALT) IP cores ALTECC

មុខងារចប់view Counter Divider Multiplier
ឧបករណ៍បន្ថែមឬដកអ្នកប្រៀបធៀប
ECC អ៊ិនកូដ/ឌិកូដ

ឧបករណ៍ដែលគាំទ្រ
Arria® II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone® IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP,
Intel Cyclone 10 GX, MAX® II, MAX V, MAX 10, Stratix® IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
Cyclone V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
Cyclone V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Cyclone IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP, MAX 10, MAX
II, MAX V, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Cyclone IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP, MAX 10, MAX
II, MAX V, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
ព្យុះស៊ីក្លូន V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, Stratix IV, Stratix V បានបន្ត…

សាជីវកម្ម Intel ។ រក្សា​រ​សិទ្ធ​គ្រប់យ៉ាង។ Intel, និមិត្តសញ្ញា Intel និងសញ្ញា Intel ផ្សេងទៀតគឺជាពាណិជ្ជសញ្ញារបស់ Intel Corporation ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។ Intel ធានាការអនុវត្តផលិតផល FPGA និង semiconductor របស់ខ្លួនទៅនឹងលក្ខណៈបច្ចេកទេសបច្ចុប្បន្នស្របតាមការធានាស្តង់ដាររបស់ Intel ប៉ុន្តែរក្សាសិទ្ធិក្នុងការផ្លាស់ប្តូរផលិតផល និងសេវាកម្មណាមួយនៅពេលណាមួយដោយមិនមានការជូនដំណឹងជាមុន។ Intel សន្មត់ថាគ្មានទំនួលខុសត្រូវ ឬការទទួលខុសត្រូវដែលកើតចេញពីកម្មវិធី ឬការប្រើប្រាស់ព័ត៌មាន ផលិតផល ឬសេវាកម្មណាមួយដែលបានពិពណ៌នានៅទីនេះ លើកលែងតែមានការយល់ព្រមជាលាយលក្ខណ៍អក្សរដោយ Intel ។ អតិថិជនរបស់ Intel ត្រូវបានណែនាំឱ្យទទួលបានកំណែចុងក្រោយបំផុតនៃការបញ្ជាក់ឧបករណ៍ មុនពេលពឹងផ្អែកលើព័ត៌មានដែលបានបោះពុម្ពផ្សាយណាមួយ និងមុនពេលធ្វើការបញ្ជាទិញផលិតផល ឬសេវាកម្ម។ * ឈ្មោះ និងម៉ាកផ្សេងទៀតអាចត្រូវបានទាមទារជាកម្មសិទ្ធិរបស់អ្នកដទៃ។

ISO 9001:2015 បានចុះឈ្មោះ

1. Intel FPGA Integer Arithmetic IP Cores 683490 | 2020.10.05

IP Cores Intel FPGA Multiply Adder ឬ ALTERA_MULT_ADD ALTMEMMULT
ALTMULT_ACCUM ALTMULT_ADD ALTMULT_COMPLEX
ALTSQRT
PARALLEL_ADD

មុខងារចប់view មេគុណ-បន្ថែម
មេគុណថេរផ្អែកលើអង្គចងចាំ
Multiplier-Accumulator Multiplier-Adder
មេគុណស្មុគស្មាញ
ចំនួនគត់ Square-Root
ប៉ារ៉ាឡែល Adder

ឧបករណ៍ដែលគាំទ្រ
Arria V, Stratix V, Cyclone V, Intel Stratix 10, Intel Arria 10, Intel Cyclone
10 GX
Arria II GX, Arria II GZ, Arria V, Intel Arria 10 (Intel Quartus® Prime Standard Edition), Cyclone IV E, Cyclone IV GX, Cyclone V, Intel
ព្យុះស៊ីក្លូន 10 LP, MAX II, MAX V, MAX 10, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Cyclone IV E, Cyclone IV GX, Intel Cyclone 10 LP, MAX 10, MAX II, MAX V, Stratix IV
Arria II GX, Arria II GZ, Cyclone IV E, Cyclone IV GX, Intel Cyclone 10 LP, MAX 10, MAX II, MAX V, Stratix IV
Arria II GX, Arria II GZ, Intel Arria 10, Arria V, Arria V GZ, Cyclone IV E, Cyclone IV GX, Cyclone V, Intel
Cyclone 10 GX, Intel Cyclone 10 LP, MAX 10, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
ព្យុះស៊ីក្លូន V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
ព្យុះស៊ីក្លូន V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, Stratix IV, Stratix V

ព័ត៌មានពាក់ព័ន្ធ
· កំណត់ចំណាំការចេញផ្សាយរបស់ Intel FPGAs និងឧបករណ៍ដែលអាចសរសេរកម្មវិធីបាន។
· ការណែនាំអំពី Intel FPGA IP Cores ផ្តល់ព័ត៌មានបន្ថែមអំពី Intel FPGA IP Cores ។
· មគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់ស្នូល IP អណ្តែតអណ្តែត ផ្តល់ព័ត៌មានបន្ថែមអំពី Intel FPGA Floating-Point IP cores ។
· ការណែនាំអំពី Intel FPGA IP Cores ផ្តល់នូវព័ត៌មានទូទៅអំពី Intel FPGA IP cores ទាំងអស់ រួមទាំងការកំណត់ ការបង្កើត ការធ្វើឱ្យប្រសើរឡើង និងការក្លែងធ្វើស្នូល IP ។
· បង្កើត Version-Independent IP និង Qsys Simulation Scripts បង្កើតស្គ្រីបក្លែងធ្វើ ដែលមិនត្រូវការការធ្វើបច្ចុប្បន្នភាពដោយដៃសម្រាប់ការអាប់ដេតកំណែកម្មវិធី ឬ IP ។
· ការគ្រប់គ្រងគម្រោង គោលការណ៍ណែនាំការអនុវត្តល្អបំផុតសម្រាប់ការគ្រប់គ្រងប្រកបដោយប្រសិទ្ធភាព និងភាពងាយស្រួលនៃគម្រោង និង IP របស់អ្នក។ files.
· Integer Arithmetic IP Cores User Guide Document Archives នៅទំព័រ 98 ផ្តល់នូវបញ្ជីនៃការណែនាំអ្នកប្រើប្រាស់សម្រាប់កំណែមុននៃ Integer Arithmetic IP cores ។

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 6

ផ្ញើមតិកែលម្អ

683490 | 2020.10.05 ផ្ញើមតិកែលម្អ

2. LPM_COUNTER (Counter) IP Core

រូបភាពទី 1 ។

ស្នូល IP LPM_COUNTER គឺជាបញ្ជរគោលពីរដែលបង្កើតបញ្ជរ បញ្ជរចុះក្រោម និងបញ្ជរឡើងលើ ឬចុះក្រោមដែលមានលទ្ធផលរហូតដល់ 256 ប៊ីត។

រូបខាងក្រោមបង្ហាញពីច្រកសម្រាប់ LPM_COUNTER IP core។

ច្រក LPM_COUNTER

LPM_COUNTER

ssclr sload sset data[]

q[]

ឡើង​ចុះ

cout

aclr aload asset

clk_en cnt_en cin
inst

2.1. លក្ខណៈពិសេស
ស្នូល IP របស់ LPM_COUNTER ផ្តល់នូវលក្ខណៈពិសេសដូចខាងក្រោម៖ · បង្កើតបញ្ជរឡើងចុះ និងឡើងលើ/ចុះក្រោម · បង្កើតប្រភេទបញ្ជរខាងក្រោម៖
- គោលពីរធម្មតា - ការកើនឡើងរាប់ចាប់ផ្តើមពីសូន្យ ឬការថយចុះចាប់ផ្តើមពី 255
- ម៉ូឌុល - រាប់ចំនួនកើនឡើងដល់ ឬបន្ថយពីតម្លៃម៉ូឌុលដែលបានបញ្ជាក់ដោយអ្នកប្រើប្រាស់ ហើយធ្វើម្តងទៀត
· គាំទ្រការសម្អាត ផ្ទុក និងកំណត់ច្រកបញ្ចូលតាមជម្រើស · គាំទ្រជម្រើសមិនសមកាលកម្មច្បាស់លាស់ ផ្ទុក និងកំណត់ច្រកបញ្ចូល · គាំទ្រការរាប់ស្រេចចិត្ត បើកដំណើរការ និងនាឡិកាបើកច្រកបញ្ចូល · គាំទ្រច្រកដាក់តាមខ្លួន និងច្រកចេញជាជម្រើស

សាជីវកម្ម Intel ។ រក្សា​រ​សិទ្ធ​គ្រប់យ៉ាង។ Intel, និមិត្តសញ្ញា Intel និងសញ្ញា Intel ផ្សេងទៀតគឺជាពាណិជ្ជសញ្ញារបស់ Intel Corporation ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។ Intel ធានាការអនុវត្តផលិតផល FPGA និង semiconductor របស់ខ្លួនទៅនឹងលក្ខណៈបច្ចេកទេសបច្ចុប្បន្នស្របតាមការធានាស្តង់ដាររបស់ Intel ប៉ុន្តែរក្សាសិទ្ធិក្នុងការផ្លាស់ប្តូរផលិតផល និងសេវាកម្មណាមួយនៅពេលណាមួយដោយមិនមានការជូនដំណឹងជាមុន។ Intel សន្មត់ថាគ្មានទំនួលខុសត្រូវ ឬការទទួលខុសត្រូវដែលកើតចេញពីកម្មវិធី ឬការប្រើប្រាស់ព័ត៌មាន ផលិតផល ឬសេវាកម្មណាមួយដែលបានពិពណ៌នានៅទីនេះ លើកលែងតែមានការយល់ព្រមជាលាយលក្ខណ៍អក្សរដោយ Intel ។ អតិថិជនរបស់ Intel ត្រូវបានណែនាំឱ្យទទួលបានកំណែចុងក្រោយបំផុតនៃការបញ្ជាក់ឧបករណ៍ មុនពេលពឹងផ្អែកលើព័ត៌មានដែលបានបោះពុម្ពផ្សាយណាមួយ និងមុនពេលធ្វើការបញ្ជាទិញផលិតផល ឬសេវាកម្ម។ * ឈ្មោះ និងម៉ាកផ្សេងទៀតអាចត្រូវបានទាមទារជាកម្មសិទ្ធិរបស់អ្នកដទៃ។

ISO 9001:2015 បានចុះឈ្មោះ

2. LPM_COUNTER (Counter) IP Core
683490 | ៨០០.៥៥៨.៨៧២២
២.២. គំរូ Verilog HDL
គំរូ Verilog HDL ខាងក្រោមមានទីតាំងនៅក្នុង Verilog Design File (.v) lpm.v ក្នុង ថត edasynthesis ។
ម៉ូឌុល lpm_counter ( q, ទិន្នន័យ, នាឡិកា, ស៊ីន, cout, clk_en, cnt_en, updown, aset, aclr, aload, sset, sclr, sload, eq ); ប៉ារ៉ាម៉ែត្រ lpm_type = "lpm_counter"; ប៉ារ៉ាម៉ែត្រ lpm_width = 1; ប៉ារ៉ាម៉ែត្រ lpm_modulus = 0; ប៉ារ៉ាម៉ែត្រ lpm_direction = "មិនបានប្រើ"; ប៉ារ៉ាម៉ែត្រ lpm_avalue = "មិនបានប្រើ"; ប៉ារ៉ាម៉ែត្រ lpm_svalue = "មិនបានប្រើ"; ប៉ារ៉ាម៉ែត្រ lpm_pvalue = "មិនបានប្រើ"; ប៉ារ៉ាម៉ែត្រ lpm_port_updown = “PORT_CONNECTIVITY”; ប៉ារ៉ាម៉ែត្រ lpm_hint = "មិនបានប្រើ"; លទ្ធផល [lpm_width-1:0] q; ទិន្នផល cout; ទិន្នផល [15:0] eq; បញ្ចូល cin; បញ្ចូលទិន្នន័យ [lpm_width-1:0]; នាឡិកាបញ្ចូល, clk_en, cnt_en, ឡើងចុះ; ធាតុបញ្ចូល, aclr, aload; បញ្ចូល sset, sclr, sload; ម៉ូឌុលបញ្ចប់
២.៣. សេចក្តីប្រកាសសមាសធាតុ VHDL
សេចក្តីប្រកាសសមាសភាគ VHDL មានទីតាំងនៅក្នុង VHDL Design File (.vhd) LPM_PACK.vhd ក្នុង librariesvhdllpm ថត។
សមាសភាគ LPM_COUNTER ទូទៅ ( LPM_WIDTH : ធម្មជាតិ; LPM_MODULUS : ធម្មជាតិ := 0; LPM_DIRECTION : string := "មិនបានប្រើ"; LPM_AVALUE : string := "មិនបានប្រើ"; LPM_SVALUE : string := “UNUSED”; CONDOWN_PORT_PORTITY: LPMECT_PORTITY ; LPM_PVALUE : string := “UNUSED” ច្រក (ទិន្នន័យ៖ ក្នុង std_logic_vector(LPM_WIDTH-1 ចុះដល់ 0):= (OTHERS =>
'0'); នាឡិកា៖ ក្នុង std_logic ; CLK_EN : ក្នុង std_logic := '1'; CNT_EN : ក្នុង std_logic := '1'; UPDOWN : ក្នុង std_logic := '1'; SLOAD : ក្នុង std_logic := '0'; SSET : ក្នុង std_logic := '0'; SCLR : ក្នុង std_logic := '0'; ALOAD : ក្នុង std_logic := '0'; ASET : ក្នុង std_logic := '0'; ACLR : ក្នុង std_logic := '0'; CIN : ក្នុង std_logic := '1'; COUT : ចេញ std_logic := '0'; សំណួរ៖ ចេញ std_logic_vector(LPM_WIDTH-1 ចុះដល់ 0); EQ: ចេញ std_logic_vector(15 ចុះដល់ 0));
សមាសធាតុបញ្ចប់;

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 8

ផ្ញើមតិកែលម្អ

2. LPM_COUNTER (Counter) IP Core 683490 | 2020.10.05

២.៤. សេចក្តីប្រកាស VHDL LIBRARY_USE
សេចក្តីប្រកាស VHDL LIBRARY-USE មិនត្រូវបានទាមទារទេ ប្រសិនបើអ្នកប្រើសេចក្តីប្រកាសសមាសធាតុ VHDL ។
បណ្ណាល័យ lpm; ប្រើ lpm.lpm_components.all;

2.5. ច្រក

តារាងខាងក្រោមរាយបញ្ជីច្រកបញ្ចូល និងទិន្នផលសម្រាប់ស្នូល IP LPM_COUNTER ។

តារាង 2 ។

ច្រកបញ្ចូល LPM_COUNTER

ឈ្មោះច្រក

ទាមទារ

ការពិពណ៌នា

ទិន្នន័យ[]

ទេ

ការបញ្ចូលទិន្នន័យស្របគ្នាទៅនឹងបញ្ជរ។ ទំហំនៃច្រកបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ LPM_WIDTH ។

នាឡិកា

បាទ

ការបញ្ចូលនាឡិកាដែលជំរុញដោយគែមវិជ្ជមាន។

clk_en

ទេ

នាឡិកាបើកការបញ្ចូលដើម្បីបើកសកម្មភាពសមកាលកម្មទាំងអស់។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមគឺ 1 ។

cnt_en

ទេ

រាប់បើកការបញ្ចូលដើម្បីបិទការរាប់នៅពេលអះអាងទាបដោយមិនប៉ះពាល់ដល់ sload, sset, ឬ sclr ។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមគឺ 1 ។

ឡើង​ចុះ

ទេ

គ្រប់គ្រងទិសដៅនៃការរាប់។ នៅពេលអះអាងខ្ពស់ (1) ទិសដៅរាប់ឡើង ហើយនៅពេលអះអាងទាប (0) ទិសដៅរាប់ធ្លាក់ចុះ។ ប្រសិនបើប៉ារ៉ាម៉ែត្រ LPM_DIRECTION ត្រូវបានប្រើ ច្រកចុះក្រោមមិនអាចតភ្ជាប់បានទេ។ ប្រសិនបើ LPM_DIRECTION មិនត្រូវបានប្រើទេ ច្រកចុះក្រោមគឺស្រេចចិត្ត។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមគឺឡើង (1)។

ស៊ីន

ទេ

យកតាមលំដាប់លំដោយទាប។ សម្រាប់ការរាប់ឡើង ឥរិយាបថនៃការបញ្ចូល cin គឺ

ដូចគ្នាទៅនឹងឥរិយាបថនៃការបញ្ចូល cnt_en ។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមគឺ 1

(VCC) ។

aclr

ទេ

ការបញ្ចូលច្បាស់លាស់អសមកាល។ ប្រសិនបើទាំង aset និង aclr ត្រូវបានប្រើប្រាស់ និងអះអាងនោះ aclr បដិសេធ aset ។ ប្រសិនបើលុបចោល តម្លៃលំនាំដើមគឺ 0 (បិទ)។

ទ្រព្យសកម្ម

ទេ

ការបញ្ចូលសំណុំអសមកាល។ បញ្ជាក់លទ្ធផល q[] ជា 1s ទាំងអស់ ឬជាតម្លៃដែលបានបញ្ជាក់ដោយប៉ារ៉ាម៉ែត្រ LPM_AVALUE ។ ប្រសិនបើច្រក aset និង aclr ត្រូវបានប្រើប្រាស់ និងអះអាង តម្លៃនៃច្រក aclr បដិសេធតម្លៃនៃច្រក asset ។ ប្រសិនបើលុបចោល តម្លៃលំនាំដើមគឺ 0 ត្រូវបានបិទ។

ផ្ទុក

ទេ

ការបញ្ចូលបន្ទុកអសមកាលដែលផ្ទុកអសមកាលជាមួយតម្លៃនៅលើការបញ្ចូលទិន្នន័យ។ នៅពេលដែលច្រក aload ត្រូវបានប្រើ ច្រកទិន្នន័យ [] ត្រូវតែភ្ជាប់។ ប្រសិនបើលុបចោល តម្លៃលំនាំដើមគឺ 0 ត្រូវបានបិទ។

sclr

ទេ

ការបញ្ចូលច្បាស់លាស់ដែលធ្វើសមកាលកម្មដែលជម្រះការរាប់នៅលើគែមនាឡិកាសកម្មបន្ទាប់។ ប្រសិនបើទាំងច្រក sset និង sclr ត្រូវបានប្រើប្រាស់ និងអះអាង តម្លៃនៃច្រក sclr បដិសេធតម្លៃនៃច្រក sset ។ ប្រសិនបើលុបចោល តម្លៃលំនាំដើមគឺ 0 ត្រូវបានបិទ។

សិត

ទេ

ការបញ្ចូលសំណុំសមកាលកម្មដែលកំណត់រាប់នៅលើគែមនាឡិកាសកម្មបន្ទាប់។ បញ្ជាក់តម្លៃនៃលទ្ធផល q ជា 1s ទាំងអស់ ឬទៅតម្លៃដែលបានបញ្ជាក់ដោយប៉ារ៉ាម៉ែត្រ LPM_SVALUE ។ ប្រសិនបើទាំងច្រក sset និង sclr ត្រូវបានប្រើប្រាស់ និងអះអាង
តម្លៃនៃច្រក sclr បដិសេធតម្លៃនៃច្រក sset ។ ប្រសិនបើលុបចោល តម្លៃលំនាំដើមគឺ 0 (បិទ)។

sload

ទេ

ការបញ្ចូលការផ្ទុកសមកាលកម្មដែលផ្ទុកការរាប់ជាមួយនឹងទិន្នន័យ[] នៅលើគែមនាឡិកាសកម្មបន្ទាប់។ នៅពេលដែលច្រក sload ត្រូវបានប្រើ ច្រកទិន្នន័យ [] ត្រូវតែភ្ជាប់។ ប្រសិនបើលុបចោល តម្លៃលំនាំដើមគឺ 0 (បិទ)។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 9

2. LPM_COUNTER (Counter) IP Core 683490 | 2020.10.05

តារាង 3 ។

ច្រកចេញ LPM_COUNTER

ឈ្មោះច្រក

ទាមទារ

ការពិពណ៌នា

q[]

ទេ

ទិន្នផលទិន្នន័យពីបញ្ជរ។ ទំហំនៃច្រកចេញគឺអាស្រ័យលើ

តម្លៃប៉ារ៉ាម៉ែត្រ LPM_WIDTH ។ ទាំង q[] ឬយ៉ាងហោចណាស់ច្រក eq[15..0]

ត្រូវតែភ្ជាប់។

eq[15..0]

ទេ

Counter decode output។ ច្រក eq[15..0] មិនអាចចូលប្រើបានក្នុងកម្មវិធីកែសម្រួលប៉ារ៉ាម៉ែត្រទេ ព្រោះប៉ារ៉ាម៉ែត្រនេះគាំទ្រតែ AHDL ប៉ុណ្ណោះ។
ទាំងច្រក q[] ឬច្រក eq[] ត្រូវតែភ្ជាប់។ ច្រក c eq អាចប្រើបាន (0 <= c <= 15) ។ មានតែតម្លៃរាប់ទាបបំផុតចំនួន 16 ប៉ុណ្ណោះដែលត្រូវបានឌិកូដ។ នៅពេលតម្លៃរាប់គឺ c លទ្ធផល eqc ត្រូវបានអះអាងខ្ពស់ (1) ។ សម្រាប់អតីតample នៅពេលដែលការរាប់គឺ 0, eq0 = 1, នៅពេលដែលការរាប់គឺ 1, eq1 = 1, ហើយនៅពេលដែលការរាប់គឺ 15, eq 15 = 1 ។ លទ្ធផលដែលបានឌិកូដសម្រាប់តម្លៃរាប់នៃ 16 ឬធំជាងនេះតម្រូវឱ្យមានការឌិកូដខាងក្រៅ។ លទ្ធផល eq[15..0] គឺអសមកាលទៅនឹងទិន្នផល q[]។

cout

ទេ

ច្រកចេញនៃ MSB របស់បញ្ជរ។ វាអាចត្រូវបានប្រើដើម្បីភ្ជាប់ទៅបញ្ជរផ្សេងទៀតដើម្បីបង្កើតបញ្ជរធំជាង។

2.6. ប៉ារ៉ាម៉ែត្រ

តារាងខាងក្រោមរាយបញ្ជីប៉ារ៉ាម៉ែត្រសម្រាប់ស្នូល IP LPM_COUNTER ។

តារាង 4 ។

LPM_COUNTER ប៉ារ៉ាម៉ែត្រ

ឈ្មោះប៉ារ៉ាម៉ែត្រ

ប្រភេទ

LPM_WIDTH

ចំនួនគត់

LPM_DIRECTION

ខ្សែអក្សរ

LPM_MODULUS LPM_AVALUE

ចំនួនគត់
ចំនួនគត់/ខ្សែអក្សរ

LPM_SVALUE LPM_HINT

ចំនួនគត់/ខ្សែអក្សរ
ខ្សែអក្សរ

LPM_TYPE

ខ្សែអក្សរ

ទាមទារ បាទ ទេ ទេ ទេ។
គ្មានទេ
ទេ

ការពិពណ៌នា
បញ្ជាក់ទទឹងនៃច្រកទិន្នន័យ[] និង q[] ប្រសិនបើពួកវាត្រូវបានប្រើ។
តម្លៃគឺឡើងលើ ចុះក្រោម និងមិនប្រើ។ ប្រសិនបើប៉ារ៉ាម៉ែត្រ LPM_DIRECTION ត្រូវបានប្រើ ច្រកចុះក្រោមមិនអាចតភ្ជាប់បានទេ។ នៅពេលដែលច្រកចុះក្រោមមិនត្រូវបានភ្ជាប់ទេ ប៉ារ៉ាម៉ែត្រ LPM_DIRECTION តម្លៃលំនាំដើមគឺ UP ។
ចំនួនអតិបរមាបូកមួយ។ ចំនួននៃរដ្ឋតែមួយគត់នៅក្នុងវដ្តនៃការរាប់។ ប្រសិនបើតម្លៃផ្ទុកធំជាងប៉ារ៉ាម៉ែត្រ LPM_MODULUS នោះឥរិយាបថនៃការរាប់មិនត្រូវបានបញ្ជាក់ទេ។
តម្លៃថេរដែលត្រូវបានផ្ទុកនៅពេលដែលទ្រព្យសម្បត្តិត្រូវបានអះអាងខ្ពស់។ ប្រសិនបើតម្លៃដែលបានបញ្ជាក់គឺធំជាង ឬស្មើនឹង ឥរិយាបទនៃបញ្ជរគឺជាកម្រិតតក្កវិជ្ជាដែលមិនបានកំណត់ (X) ដែលជាកន្លែងដែល គឺ LPM_MODULUS ប្រសិនបើមានវត្តមាន ឬ 2 ^ LPM_WIDTH ។ Intel ណែនាំឱ្យអ្នកបញ្ជាក់តម្លៃនេះជាលេខទសភាគសម្រាប់ការរចនា AHDL ។
តម្លៃថេរដែលត្រូវបានផ្ទុកនៅលើគែមកើនឡើងនៃច្រកនាឡិកានៅពេលដែលច្រក sset ត្រូវបានអះអាងខ្ពស់។ Intel ណែនាំឱ្យអ្នកបញ្ជាក់តម្លៃនេះជាលេខទសភាគសម្រាប់ការរចនា AHDL ។
នៅពេលអ្នកបង្កើតបណ្ណាល័យនៃម៉ូឌុលប៉ារ៉ាម៉ែត្រ (LPM) មុខងារនៅក្នុង VHDL Design File (.vhd) អ្នកត្រូវតែប្រើប៉ារ៉ាម៉ែត្រ LPM_HINT ដើម្បីបញ្ជាក់ប៉ារ៉ាម៉ែត្រជាក់លាក់របស់ Intel ។ សម្រាប់អតីតample: LPM_HINT = “CHAIN_SIZE = 8, ONE_INPUT_IS_CONSTANT = បាទ”
តម្លៃលំនាំដើមគឺមិនបានប្រើទេ។
កំណត់បណ្ណាល័យនៃឈ្មោះអង្គភាពម៉ូឌុលប៉ារ៉ាម៉ែត្រ (LPM) នៅក្នុងការរចនា VHDL files.
បន្ត…

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 10

ផ្ញើមតិកែលម្អ

2. LPM_COUNTER (Counter) IP Core 683490 | 2020.10.05

ឈ្មោះប៉ារ៉ាម៉ែត្រ INTENDED_DEVICE_FAMILY CARRY_CNT_EN
LABWIDE_SCLR
LPM_PORT_UPDOWN

វាយ String String
ខ្សែអក្សរ
ខ្សែអក្សរ

ទាមទារ No No
ទេ
ទេ

ការពិពណ៌នា
ប៉ារ៉ាម៉ែត្រ​នេះ​ត្រូវ​បាន​ប្រើ​សម្រាប់​គោល​បំណង​ធ្វើ​គំរូ និង​ការ​ក្លែង​ធ្វើ​អាកប្បកិរិយា។ ប៉ារ៉ាម៉ែត្រ​នេះ​ត្រូវ​បាន​ប្រើ​សម្រាប់​គោល​បំណង​ធ្វើ​គំរូ និង​ការ​ក្លែង​ធ្វើ​អាកប្បកិរិយា។ កម្មវិធីកែសម្រួលប៉ារ៉ាម៉ែត្រគណនាតម្លៃសម្រាប់ប៉ារ៉ាម៉ែត្រនេះ។
ប៉ារ៉ាម៉ែត្រជាក់លាក់របស់ Intel ។ អ្នកត្រូវតែប្រើប៉ារ៉ាម៉ែត្រ LPM_HINT ដើម្បីបញ្ជាក់ប៉ារ៉ាម៉ែត្រ CARRY_CNT_EN ក្នុងការរចនា VHDL fileស. តម្លៃគឺឆ្លាតវៃ បើក បិទ និងមិនបានប្រើ។ បើកដំណើរការមុខងារ LPM_COUNTER ដើម្បីផ្សព្វផ្សាយសញ្ញា cnt_en តាមរយៈខ្សែសង្វាក់ដឹកជញ្ជូន។ ក្នុងករណីខ្លះ ការកំណត់ប៉ារ៉ាម៉ែត្រ CARRY_CNT_EN អាចមានផលប៉ះពាល់បន្តិចបន្តួចលើល្បឿន ដូច្នេះអ្នកប្រហែលជាចង់បិទវា។ តម្លៃលំនាំដើមគឺ SMART ដែលផ្តល់នូវការដោះដូរដ៏ល្អបំផុតរវាងទំហំ និងល្បឿន។
ប៉ារ៉ាម៉ែត្រជាក់លាក់របស់ Intel ។ អ្នកត្រូវតែប្រើប៉ារ៉ាម៉ែត្រ LPM_HINT ដើម្បីបញ្ជាក់ប៉ារ៉ាម៉ែត្រ LABWIDE_SCLR ក្នុងការរចនា VHDL fileស. តម្លៃត្រូវបានបើក បិទ ឬមិនបានប្រើ។ តម្លៃលំនាំដើមគឺបើក។ អនុញ្ញាតឱ្យអ្នកបិទការប្រើប្រាស់មុខងារ LABwide scr ដែលរកឃើញនៅក្នុងគ្រួសារឧបករណ៍ដែលលែងប្រើ។ ការបិទជម្រើសនេះបង្កើនឱកាសនៃការប្រើប្រាស់ពេញលេញនៃ LABs ដែលបំពេញដោយផ្នែក ហើយដូច្នេះអាចអនុញ្ញាតឱ្យមានដង់ស៊ីតេតក្កខ្ពស់ជាងនៅពេលដែល SCLR មិនអនុវត្តចំពោះ LAB ពេញលេញ។ ប៉ារ៉ាម៉ែត្រនេះមានសម្រាប់ភាពឆបគ្នាថយក្រោយ ហើយ Intel ណែនាំអ្នកមិនឱ្យប្រើប៉ារ៉ាម៉ែត្រនេះទេ។
បញ្ជាក់ការប្រើប្រាស់ច្រកបញ្ចូលចុះក្រោម។ ប្រសិនបើលុបតម្លៃលំនាំដើមគឺ PORT_CONNECTIVITY ។ នៅពេលដែលតម្លៃច្រកត្រូវបានកំណត់ទៅ PORT_USED ច្រកត្រូវបានចាត់ទុកដូចដែលបានប្រើ។ នៅពេលដែលតម្លៃច្រកត្រូវបានកំណត់ទៅ PORT_UNUSED ច្រកត្រូវបានចាត់ទុកជាមិនបានប្រើ។ នៅពេលដែលតម្លៃច្រកត្រូវបានកំណត់ទៅ PORT_CONNECTIVITY ការប្រើប្រាស់ច្រកត្រូវបានកំណត់ដោយពិនិត្យមើលការតភ្ជាប់ច្រក។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 11

683490 | 2020.10.05 ផ្ញើមតិកែលម្អ

3. LPM_DIVIDE (Divider) Intel FPGA IP Core

រូបភាពទី 2 ។

LPM_DIVIDE Intel FPGA IP core អនុវត្តការបែងចែកដើម្បីបែងចែកតម្លៃបញ្ចូលលេខភាគដោយតម្លៃបញ្ចូលភាគបែងដើម្បីផលិត quotient និងនៅសល់។

រូបខាងក្រោមបង្ហាញពីច្រកសម្រាប់ LPM_DIVIDE IP core។

ច្រក LPM_DIVIDE

LPM_DIVIDE

លេខ[] denom[] នាឡិកា

quotient[] នៅសល់[]

clken aclr

inst

3.1. លក្ខណៈពិសេស
ស្នូល IP LPM_DIVIDE ផ្តល់នូវលក្ខណៈពិសេសដូចខាងក្រោមៈ · បង្កើតផ្នែកបែងចែកដែលបែងចែកតម្លៃបញ្ចូលលេខដោយភាគបែងបញ្ចូល
តម្លៃ​ដើម្បី​ផលិត​កូតា និង​សល់។ ·គាំទ្រទទឹងទិន្នន័យ 1 ប៊ីត។ · គាំទ្រទម្រង់តំណាងទិន្នន័យដែលបានចុះហត្ថលេខា និងមិនបានចុះហត្ថលេខាសម្រាប់ទាំងផ្នែកភាគ
និងតម្លៃភាគបែង។ ·គាំទ្រតំបន់ឬបង្កើនល្បឿនបង្កើនប្រសិទ្ធភាព។ · ផ្តល់ជម្រើសដើម្បីបញ្ជាក់លទ្ធផលវិជ្ជមានដែលនៅសល់។ · គាំទ្រភាពយឺតយ៉ាវទិន្នផលដែលអាចកំណត់រចនាសម្ព័ន្ធបំពង់។ · គាំទ្រជម្រើសច្បាស់លាស់អសមកាល និងនាឡិកាបើកច្រក។

២.២. គំរូ Verilog HDL
គំរូ Verilog HDL ខាងក្រោមមានទីតាំងនៅក្នុង Verilog Design File (.v) lpm.v ក្នុង ថត edasynthesis ។
ម៉ូឌុល lpm_divide ( quotient, នៅសល់, លេខ, លេខ, នាឡិកា, clken, aclr); ប៉ារ៉ាម៉ែត្រ lpm_type = "lpm_divide"; ប៉ារ៉ាម៉ែត្រ lpm_widthn = 1; ប៉ារ៉ាម៉ែត្រ lpm_widthd = 1; ប៉ារ៉ាម៉ែត្រ lpm_nrepresentation = "មិនបានចុះហត្ថលេខា"; ប៉ារ៉ាម៉ែត្រ lpm_drepresentation = "មិនបានចុះហត្ថលេខា"; ប៉ារ៉ាម៉ែត្រ lpm_remainderpositive = “TRUE”; ប៉ារ៉ាម៉ែត្រ lpm_pipeline = 0;

សាជីវកម្ម Intel ។ រក្សា​រ​សិទ្ធ​គ្រប់យ៉ាង។ Intel, និមិត្តសញ្ញា Intel និងសញ្ញា Intel ផ្សេងទៀតគឺជាពាណិជ្ជសញ្ញារបស់ Intel Corporation ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។ Intel ធានាការអនុវត្តផលិតផល FPGA និង semiconductor របស់ខ្លួនទៅនឹងលក្ខណៈបច្ចេកទេសបច្ចុប្បន្នស្របតាមការធានាស្តង់ដាររបស់ Intel ប៉ុន្តែរក្សាសិទ្ធិក្នុងការផ្លាស់ប្តូរផលិតផល និងសេវាកម្មណាមួយនៅពេលណាមួយដោយមិនមានការជូនដំណឹងជាមុន។ Intel សន្មត់ថាគ្មានទំនួលខុសត្រូវ ឬការទទួលខុសត្រូវដែលកើតចេញពីកម្មវិធី ឬការប្រើប្រាស់ព័ត៌មាន ផលិតផល ឬសេវាកម្មណាមួយដែលបានពិពណ៌នានៅទីនេះ លើកលែងតែមានការយល់ព្រមជាលាយលក្ខណ៍អក្សរដោយ Intel ។ អតិថិជនរបស់ Intel ត្រូវបានណែនាំឱ្យទទួលបានកំណែចុងក្រោយបំផុតនៃការបញ្ជាក់ឧបករណ៍ មុនពេលពឹងផ្អែកលើព័ត៌មានដែលបានបោះពុម្ពផ្សាយណាមួយ និងមុនពេលធ្វើការបញ្ជាទិញផលិតផល ឬសេវាកម្ម។ * ឈ្មោះ និងម៉ាកផ្សេងទៀតអាចត្រូវបានទាមទារជាកម្មសិទ្ធិរបស់អ្នកដទៃ។

ISO 9001:2015 បានចុះឈ្មោះ

3. LPM_DIVIDE (Divider) Intel FPGA IP Core 683490 | 2020.10.05

ប៉ារ៉ាម៉ែត្រ lpm_hint = "មិនបានប្រើ"; នាឡិកាបញ្ចូល; បញ្ចូល clken; បញ្ចូល aclr; បញ្ចូល [lpm_widthn-1:0] លេខ; បញ្ចូល [lpm_widthd-1:0] នាម; លទ្ធផល [lpm_widthn-1:0] quotient; លទ្ធផល [lpm_widthd-1:0] នៅសល់; ម៉ូឌុលបញ្ចប់

២.៣. សេចក្តីប្រកាសសមាសធាតុ VHDL
សេចក្តីប្រកាសសមាសភាគ VHDL មានទីតាំងនៅក្នុង VHDL Design File (.vhd) LPM_PACK.vhd ក្នុង librariesvhdllpm ថត។
សមាសភាគ LPM_DIVIDE ទូទៅ (LPM_WIDTHN : ធម្មជាតិ; LPM_WIDTHD : ធម្មជាតិ;
LPM_NREPRESENTATION : string := “UNSIGNED”; LPM_DREPRESENTATION : string := “UNSIGNED”; LPM_PIPELINE : ធម្មជាតិ := 0; LPM_TYPE : ខ្សែអក្សរ := L_DIVIDE; LPM_HINT : string := "មិនបានប្រើ"); port (NUMER : in std_logic_vector(LPM_WIDTHN-1 down to 0); DENOM : in std_logic_vector(LPM_WIDTHD-1 downto 0); ACLR : in std_logic := '0'; CLOCK : in std_logic := '0'; := '1'; QUOTIENT: ចេញ std_logic_vector(LPM_WIDTHN-1 ចុះដល់ 0); សមាសធាតុបញ្ចប់;

២.៤. សេចក្តីប្រកាស VHDL LIBRARY_USE
សេចក្តីប្រកាស VHDL LIBRARY-USE មិនត្រូវបានទាមទារទេ ប្រសិនបើអ្នកប្រើសេចក្តីប្រកាសសមាសធាតុ VHDL ។
បណ្ណាល័យ lpm; ប្រើ lpm.lpm_components.all;

3.5. ច្រក

តារាងខាងក្រោមរាយបញ្ជីច្រកបញ្ចូល និងទិន្នផលសម្រាប់ស្នូល IP LPM_DIVIDE ។

តារាង 5 ។

ច្រកបញ្ចូល LPM_DIVIDE

ឈ្មោះច្រក

ទាមទារ

លេខ[]

បាទ

និកាយ[]

បាទ

ការពិពណ៌នា
ការបញ្ចូលទិន្នន័យតាមលេខ។ ទំហំច្រកបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ LPM_WIDTHN ។
ការបញ្ចូលទិន្នន័យភាគបែង។ ទំហំនៃច្រកបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ LPM_WIDTHD ។
បន្ត…

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 13

3. LPM_DIVIDE (Divider) Intel FPGA IP Core 683490 | 2020.10.05

ឈ្មោះច្រកនាឡិកា clken
aclr

ទាមទារ No No
ទេ

ការពិពណ៌នា
ការបញ្ចូលនាឡិកាសម្រាប់ការប្រើប្រាស់បំពង់។ សម្រាប់តម្លៃ LPM_PIPELINE ក្រៅពី 0 (លំនាំដើម) ច្រកនាឡិកាត្រូវតែបើក។
នាឡិកាបើកការប្រើប្រាស់បំពង់។ នៅពេលដែលច្រក clken ត្រូវបានអះអាងខ្ពស់ ប្រតិបត្តិការបែងចែកកើតឡើង។ នៅពេលដែលសញ្ញាមានកម្រិតទាប គ្មានប្រតិបត្តិការណាមួយកើតឡើងទេ។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមគឺ 1 ។
ច្រកច្បាស់លាស់អសមកាលដែលប្រើនៅពេលណាក៏បានដើម្បីកំណត់បំពង់បង្ហូរទៅ '0's ទាំងអស់ដោយអសមកាលទៅនឹងការបញ្ចូលនាឡិកា។

តារាង 6 ។

ច្រកទិន្នផល LPM_DIVIDE

ឈ្មោះច្រក

ទាមទារ

ការពិពណ៌នា

គុណតម្លៃ[]

បាទ

ទិន្នផលទិន្នន័យ។ ទំហំនៃច្រកលទ្ធផលអាស្រ័យលើ LPM_WIDTHN

តម្លៃប៉ារ៉ាម៉ែត្រ។

នៅសល់[]

បាទ

ទិន្នផលទិន្នន័យ។ ទំហំនៃច្រកលទ្ធផលអាស្រ័យលើ LPM_WIDTHD

តម្លៃប៉ារ៉ាម៉ែត្រ។

3.6. ប៉ារ៉ាម៉ែត្រ

តារាងខាងក្រោមរាយបញ្ជីប៉ារ៉ាម៉ែត្រសម្រាប់ LPM_DIVIDE Intel FPGA IP core ។

ឈ្មោះប៉ារ៉ាម៉ែត្រ

ប្រភេទ

ទាមទារ

ការពិពណ៌នា

LPM_WIDTHN

ចំនួនគត់

បាទ

បញ្ជាក់ទទឹងនៃលេខ[] និង

ច្រក quotient[] ។ តម្លៃគឺ 1 ដល់ 64 ។

LPM_WIDTHD

ចំនួនគត់

បាទ

បញ្ជាក់​ទទឹង​នៃ​និកាយ[] និង

នៅតែ[] ច្រក។ តម្លៃគឺ 1 ដល់ 64 ។

LPM_NREPRESENTATION LPM_DREPRESENTATION

ខ្សែអក្សរ

ទេ

សញ្ញាតំណាងនៃការបញ្ចូលលេខភាគ។

តម្លៃត្រូវបានចុះហត្ថលេខា និងមិនបានចុះហត្ថលេខា។ នៅពេលនេះ។

ប៉ារ៉ាម៉ែត្រត្រូវបានកំណត់ទៅ SIGNED ដែលជាផ្នែកបែងចែក

បកប្រែការបញ្ចូលលេខ [] ជាសញ្ញាពីរ

បំពេញបន្ថែម។

ទេ

សញ្ញាតំណាងនៃការបញ្ចូលភាគបែង។

តម្លៃត្រូវបានចុះហត្ថលេខា និងមិនបានចុះហត្ថលេខា។ នៅពេលនេះ។

ប៉ារ៉ាម៉ែត្រត្រូវបានកំណត់ទៅ SIGNED ដែលជាផ្នែកបែងចែក

បកប្រែការបញ្ចូលនិកាយ [] ជាសញ្ញាពីរ

បំពេញបន្ថែម។

LPM_TYPE

ខ្សែអក្សរ

ទេ

កំណត់បណ្ណាល័យនៃប៉ារ៉ាម៉ែត្រ

ឈ្មោះអង្គភាពម៉ូឌុល (LPM) នៅក្នុងការរចនា VHDL

files (.vhd) ។

LPM_HINT

ខ្សែអក្សរ

ទេ

នៅពេលអ្នកបង្កើតបណ្ណាល័យ

ម៉ូឌុលប៉ារ៉ាម៉ែត្រ (LPM) មុខងារនៅក្នុង a

ការរចនា VHDL File (.vhd) អ្នកត្រូវតែប្រើ

ប៉ារ៉ាម៉ែត្រ LPM_HINT ដើម្បីបញ្ជាក់ Intel-

ប៉ារ៉ាម៉ែត្រជាក់លាក់។ សម្រាប់អតីតampលេ៖ LPM_HINT

= “CHAIN_SIZE = 8,

ONE_INPUT_IS_CONSTANT = បាទ” នេះ។

តម្លៃលំនាំដើមមិនត្រូវបានប្រើទេ។

LPM_REMAINDERPOSITIVE

ខ្សែអក្សរ

ទេ

ប៉ារ៉ាម៉ែត្រជាក់លាក់របស់ Intel ។ អ្នកត្រូវតែប្រើ

ប៉ារ៉ាម៉ែត្រ LPM_HINT ដើម្បីបញ្ជាក់

ប៉ារ៉ាម៉ែត្រ LPM_REMAINDERPOSITIVE ក្នុង

ការរចនា VHDL fileស. តម្លៃគឺពិត ឬមិនពិត។

ប្រសិនបើប៉ារ៉ាម៉ែត្រនេះត្រូវបានកំណត់ទៅ TRUE នោះសញ្ញា

តម្លៃនៃច្រកដែលនៅសល់[] ត្រូវតែធំជាង

បន្ត…

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 14

ផ្ញើមតិកែលម្អ

3. LPM_DIVIDE (Divider) Intel FPGA IP Core 683490 | 2020.10.05

ឈ្មោះប៉ារ៉ាម៉ែត្រ

ប្រភេទ

MAXIMIZE_SPEED

ចំនួនគត់

LPM_PIPELINE

ចំនួនគត់

INTENDED_DEVICE_FAMILY រំលង_BITS

ចំនួនគត់ខ្សែអក្សរ

ទាមទារលេខ
ទេ ទេ ទេ។

ការពិពណ៌នា
ជាង ឬស្មើសូន្យ។ ប្រសិនបើប៉ារ៉ាម៉ែត្រនេះត្រូវបានកំណត់ទៅជា TRUE នោះតម្លៃនៃច្រកនៅសល់[] គឺសូន្យ ឬតម្លៃគឺជាសញ្ញាដូចគ្នា ទាំងវិជ្ជមាន ឬអវិជ្ជមាន ជាតម្លៃនៃច្រកលេខ។ ដើម្បីកាត់បន្ថយតំបន់ និងបង្កើនល្បឿន Intel ណែនាំឱ្យកំណត់ប៉ារ៉ាម៉ែត្រនេះទៅជា TRUE នៅក្នុងប្រតិបត្តិការដែលនៅសេសសល់ត្រូវតែវិជ្ជមាន ឬកន្លែងដែលនៅសល់មិនសំខាន់។
ប៉ារ៉ាម៉ែត្រជាក់លាក់របស់ Intel ។ អ្នកត្រូវតែប្រើប៉ារ៉ាម៉ែត្រ LPM_HINT ដើម្បីបញ្ជាក់ប៉ារ៉ាម៉ែត្រ MAXIMIZE_SPEED ក្នុងការរចនា VHDL fileស. តម្លៃគឺ [0..9] ។ ប្រសិនបើប្រើ កម្មវិធី Intel Quartus Prime ព្យាយាមបង្កើនប្រសិទ្ធភាពឧទាហរណ៍ជាក់លាក់នៃអនុគមន៍ LPM_DIVIDE សម្រាប់ល្បឿនជាជាងការបញ្ជូនបន្ត ហើយបដិសេធការកំណត់ជម្រើសតក្កវិជ្ជាបច្ចេកទេសបង្កើនប្រសិទ្ធភាព។ ប្រសិនបើ MAXIMIZE_SPEED មិនប្រើទេ តម្លៃនៃជម្រើសបច្ចេកទេសបង្កើនប្រសិទ្ធភាពត្រូវបានប្រើជំនួសវិញ។ ប្រសិនបើតម្លៃនៃ MAXIMIZE_SPEED គឺ 6 ឬខ្ពស់ជាងនេះ កម្មវិធីចងក្រងបង្កើនប្រសិទ្ធភាព LPM_DIVIDE IP core សម្រាប់ល្បឿនកាន់តែខ្ពស់ដោយប្រើខ្សែបញ្ជូនបន្ត។ ប្រសិនបើតម្លៃគឺ 5 ឬតិចជាងនេះ អ្នកចងក្រងអនុវត្តការរចនាដោយគ្មានខ្សែជាប់។
បញ្ជាក់​ចំនួន​វដ្ត​នាឡិកា​នៃ​ភាព​យឺតយ៉ាវ​ដែល​ទាក់ទង​នឹង​កូតា[] និង​នៅ​តែ[] លទ្ធផល។ តម្លៃនៃសូន្យ (0) បង្ហាញថាគ្មានភាពយឺតយ៉ាវទេ ហើយមុខងាររួមបញ្ចូលគ្នាសុទ្ធសាធគឺភ្លាមៗ។ ប្រសិនបើលុបចោល តម្លៃលំនាំដើមគឺ 0 (មិនដាក់បំពង់)។ អ្នកមិនអាចបញ្ជាក់តម្លៃសម្រាប់ប៉ារ៉ាម៉ែត្រ LPM_PIPELINE ដែលខ្ពស់ជាង LPM_WIDTHN ទេ។
ប៉ារ៉ាម៉ែត្រ​នេះ​ត្រូវ​បាន​ប្រើ​សម្រាប់​គោល​បំណង​ធ្វើ​គំរូ និង​ការ​ក្លែង​ធ្វើ​អាកប្បកិរិយា។ កម្មវិធីកែសម្រួលប៉ារ៉ាម៉ែត្រគណនាតម្លៃសម្រាប់ប៉ារ៉ាម៉ែត្រនេះ។
អនុញ្ញាតឱ្យការបែងចែកប៊ីតប្រភាគមានប្រសិទ្ធភាពជាងមុន ដើម្បីបង្កើនប្រសិទ្ធភាពតក្កវិជ្ជានៅលើប៊ីតនាំមុខដោយផ្តល់ចំនួន GND នាំមុខដល់ស្នូល IP LPM_DIVIDE ។ បញ្ជាក់ចំនួន GND នាំមុខនៅលើទិន្នផលកូតាទៅប៉ារ៉ាម៉ែត្រនេះ។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 15

683490 | 2020.10.05 ផ្ញើមតិកែលម្អ

4. LPM_MULT (មេគុណ) IP Core

រូបភាពទី 3 ។

ស្នូល IP LPM_MULT អនុវត្តមេគុណដើម្បីគុណតម្លៃទិន្នន័យបញ្ចូលពីរដើម្បីបង្កើតផលិតផលជាលទ្ធផល។

រូបខាងក្រោមបង្ហាញពីច្រកសម្រាប់ LPM_MULT IP core។

ច្រក LPM_ច្រើន

LPM_MULT clock dataa[] results[] datab[] aclr/sclr clken
inst

លក្ខណៈ​ពិសេស​ព័ត៌មាន​ពាក់ព័ន្ធ​នៅ​ទំព័រ ៧១

4.1. លក្ខណៈពិសេស
ស្នូល IP LPM_MULT ផ្តល់នូវលក្ខណៈពិសេសដូចខាងក្រោម៖ · បង្កើតមេគុណដែលគុណនឹងតម្លៃទិន្នន័យបញ្ចូលពីរ · គាំទ្រទទឹងទិន្នន័យ 1 ប៊ីត · គាំទ្រទម្រង់តំណាងទិន្នន័យដែលបានចុះហត្ថលេខា និងមិនបានចុះហត្ថលេខា · គាំទ្រតំបន់ ឬបង្កើនប្រសិទ្ធភាពល្បឿន · គាំទ្រការដាក់បំពង់ជាមួយនឹងភាពយឺតនៃទិន្នផលដែលអាចកំណត់បាន · ផ្តល់នូវ ជម្រើសសម្រាប់ការអនុវត្តនៅក្នុងដំណើរការសញ្ញាឌីជីថលដែលខិតខំប្រឹងប្រែង (DSP)
សៀគ្វីប្លុក ឬធាតុតក្កវិជ្ជា (LEs) ចំណាំ៖ នៅពេលបង្កើតមេគុណធំជាងទំហំដែលគាំទ្រដើម វាអាច/
នឹងជាផលប៉ះពាល់នៃដំណើរការដែលបណ្តាលមកពីការធ្លាក់នៃប្លុក DSP ។ · គាំទ្រជម្រើសមិនសមកាលកម្មច្បាស់លាស់ និងនាឡិកាបើកច្រកបញ្ចូល · គាំទ្រជម្រើសច្បាស់លាស់សមកាលកម្មសម្រាប់ឧបករណ៍ Intel Stratix 10, Intel Arria 10 និង Intel Cyclone 10 GX

សាជីវកម្ម Intel ។ រក្សា​រ​សិទ្ធ​គ្រប់យ៉ាង។ Intel, និមិត្តសញ្ញា Intel និងសញ្ញា Intel ផ្សេងទៀតគឺជាពាណិជ្ជសញ្ញារបស់ Intel Corporation ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។ Intel ធានាការអនុវត្តផលិតផល FPGA និង semiconductor របស់ខ្លួនទៅនឹងលក្ខណៈបច្ចេកទេសបច្ចុប្បន្នស្របតាមការធានាស្តង់ដាររបស់ Intel ប៉ុន្តែរក្សាសិទ្ធិក្នុងការផ្លាស់ប្តូរផលិតផល និងសេវាកម្មណាមួយនៅពេលណាមួយដោយមិនមានការជូនដំណឹងជាមុន។ Intel សន្មត់ថាគ្មានទំនួលខុសត្រូវ ឬការទទួលខុសត្រូវដែលកើតចេញពីកម្មវិធី ឬការប្រើប្រាស់ព័ត៌មាន ផលិតផល ឬសេវាកម្មណាមួយដែលបានពិពណ៌នានៅទីនេះ លើកលែងតែមានការយល់ព្រមជាលាយលក្ខណ៍អក្សរដោយ Intel ។ អតិថិជនរបស់ Intel ត្រូវបានណែនាំឱ្យទទួលបានកំណែចុងក្រោយបំផុតនៃការបញ្ជាក់ឧបករណ៍ មុនពេលពឹងផ្អែកលើព័ត៌មានដែលបានបោះពុម្ពផ្សាយណាមួយ និងមុនពេលធ្វើការបញ្ជាទិញផលិតផល ឬសេវាកម្ម។ * ឈ្មោះ និងម៉ាកផ្សេងទៀតអាចត្រូវបានទាមទារជាកម្មសិទ្ធិរបស់អ្នកដទៃ។

ISO 9001:2015 បានចុះឈ្មោះ

4. LPM_MULT (មេគុណ) IP Core 683490 | 2020.10.05
២.២. គំរូ Verilog HDL
គំរូ Verilog HDL ខាងក្រោមមានទីតាំងនៅក្នុង Verilog Design File (.v) lpm.v ក្នុង ថត edasynthesis ។
ម៉ូឌុល lpm_mult (លទ្ធផល, dataa, datab, ផលបូក, នាឡិកា, clken, aclr) ប៉ារ៉ាម៉ែត្រ lpm_type = “lpm_mult”; ប៉ារ៉ាម៉ែត្រ lpm_widtha = 1; ប៉ារ៉ាម៉ែត្រ lpm_widthb = 1; ប៉ារ៉ាម៉ែត្រ lpm_widths = 1; ប៉ារ៉ាម៉ែត្រ lpm_widthp = 1; ប៉ារ៉ាម៉ែត្រ lpm_representation = "មិនបានចុះហត្ថលេខា"; ប៉ារ៉ាម៉ែត្រ lpm_pipeline = 0; ប៉ារ៉ាម៉ែត្រ lpm_hint = "មិនបានប្រើ"; នាឡិកាបញ្ចូល; បញ្ចូល clken; បញ្ចូល aclr; បញ្ចូល [lpm_widtha-1:0] dataa; បញ្ចូល [lpm_widthb-1:0] datab; បញ្ចូល [lpm_widths-1:0] ផលបូក; លទ្ធផល [lpm_widthp-1:0] លទ្ធផល; ម៉ូឌុលបញ្ចប់
២.៣. សេចក្តីប្រកាសសមាសធាតុ VHDL
សេចក្តីប្រកាសសមាសភាគ VHDL មានទីតាំងនៅក្នុង VHDL Design File (.vhd) LPM_PACK.vhd ក្នុង librariesvhdllpm ថត។
សមាសធាតុ LPM_MULT ទូទៅ ( LPM_WIDTHA : ធម្មជាតិ; LPM_WIDTHB : ធម្មជាតិ; LPM_WIDTHS : ធម្មជាតិ := 1; LPM_WIDTHP : ធម្មជាតិ;
LPM_REPRESENTATION : string := “UNSIGNED”; LPM_PIPELINE : ធម្មជាតិ := 0; LPM_TYPE៖ ខ្សែអក្សរ := L_MULT; LPM_HINT : string := "មិនបានប្រើ"); port ( DATAA : in std_logic_vector(LPM_WIDTHA-1 down to 0); DATAB : in std_logic_vector(LPM_WIDTHB-1 downto 0); ACLR : in std_logic := '0'; CLOCK : in std_logic := '0'; := '1'; សមាសធាតុបញ្ចប់;
២.៤. សេចក្តីប្រកាស VHDL LIBRARY_USE
សេចក្តីប្រកាស VHDL LIBRARY-USE មិនត្រូវបានទាមទារទេ ប្រសិនបើអ្នកប្រើសេចក្តីប្រកាសសមាសធាតុ VHDL ។
បណ្ណាល័យ lpm; ប្រើ lpm.lpm_components.all;

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 17

4. LPM_MULT (មេគុណ) IP Core 683490 | 2020.10.05

៤.៥. សញ្ញា

តារាង 7 ។

សញ្ញាបញ្ចូល LPM_MULT

ឈ្មោះសញ្ញា

ទាមទារ

ការពិពណ៌នា

ទិន្នន័យ[]

បាទ

ការបញ្ចូលទិន្នន័យ។

សម្រាប់ឧបករណ៍ Intel Stratix 10, Intel Arria 10 និង Intel Cyclone 10 GX ទំហំនៃសញ្ញាបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រទទឹង Dataa ។

សម្រាប់ឧបករណ៍ចាស់ និង Intel Cyclone 10 LP ទំហំនៃសញ្ញាបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ LPM_WIDTHA ។

ទិន្នន័យ[]

បាទ

ការបញ្ចូលទិន្នន័យ។

សម្រាប់ឧបករណ៍ Intel Stratix 10, Intel Arria 10 និង Intel Cyclone 10 GX ទំហំនៃសញ្ញាបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រទទឹង Datab ។

សម្រាប់ឧបករណ៍ចាស់ និង Intel Cyclone 10 LP ទំហំនៃសញ្ញាបញ្ចូលអាស្រ័យ

នៅលើតម្លៃប៉ារ៉ាម៉ែត្រ LPM_WIDTHB ។

នាឡិកា

ទេ

ការបញ្ចូលនាឡិកាសម្រាប់ការប្រើប្រាស់បំពង់។

សម្រាប់ឧបករណ៍ចាស់ និង Intel Cyclone 10 LP សញ្ញានាឡិកាត្រូវតែបើកសម្រាប់តម្លៃ LPM_PIPELINE ក្រៅពី 0 (លំនាំដើម)។

សម្រាប់ឧបករណ៍ Intel Stratix 10, Intel Arria 10 និង Intel Cyclone 10 GX សញ្ញានាឡិកាត្រូវតែបើក ប្រសិនបើតម្លៃ Latency គឺខុសពី 1 (លំនាំដើម)។

ក្លិន

ទេ

នាឡិកាបើកសម្រាប់ការប្រើប្រាស់បំពង់។ នៅពេលដែលសញ្ញា clken ត្រូវបានអះអាងខ្ពស់, the

ប្រតិបត្តិការបន្ថែម/ដកកើតឡើង។ នៅពេលដែលសញ្ញាមានកម្រិតទាប គ្មានប្រតិបត្តិការទេ។

កើតឡើង។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមគឺ 1 ។

aclr scr

ទេ

សញ្ញាច្បាស់លាស់អសមកាលដែលប្រើនៅពេលណាក៏បានដើម្បីកំណត់បំពង់បង្ហូរទៅគ្រប់ 0s,

អសមកាលទៅនឹងសញ្ញានាឡិកា។ បំពង់​ចាប់ផ្តើម​ទៅជា​មិន​កំណត់ (X)

កម្រិតតក្កវិជ្ជា។ លទ្ធផលគឺជាតម្លៃស្រប ប៉ុន្តែមិនមែនសូន្យទេ។

ទេ

សញ្ញាច្បាស់លាស់ដែលធ្វើសមកាលកម្មបានប្រើនៅពេលណាក៏បានដើម្បីកំណត់បំពង់បង្ហូរទៅគ្រប់ 0s,

ធ្វើសមកាលកម្មទៅនឹងសញ្ញានាឡិកា។ បំពង់​ចាប់ផ្តើម​ទៅជា​មិន​កំណត់ (X)

កម្រិតតក្កវិជ្ជា។ លទ្ធផលគឺជាតម្លៃស្រប ប៉ុន្តែមិនមែនសូន្យទេ។

តារាង 8 ។

សញ្ញាទិន្នផល LPM_MULT

ឈ្មោះសញ្ញា

ទាមទារ

ការពិពណ៌នា

លទ្ធផល[]

បាទ

ទិន្នផលទិន្នន័យ។

សម្រាប់ឧបករណ៍ចាស់ និង Intel Cyclone 10 LP ទំហំនៃសញ្ញាទិន្នផលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ LPM_WIDTHP ។ ប្រសិនបើ LPM_WIDTHP < អតិបរមា (LPM_WIDTHA + LPM_WIDTHB, LPM_WIDTHS) ឬ (LPM_WIDTHA + LPM_WIDTHS) មានតែ LPM_WIDTHP MSBs ប៉ុណ្ណោះ។

សម្រាប់ Intel Stratix 10, Intel Arria 10 និង Intel Cyclone 10 GX ទំហំនៃសញ្ញាលទ្ធផលអាស្រ័យលើប៉ារ៉ាម៉ែត្រទទឹងលទ្ធផល។

៤.៦. ប៉ារ៉ាម៉ែត្រសម្រាប់ឧបករណ៍ Stratix V, Arria V, Cyclone V និង Intel Cyclone 4.6 LP ឧបករណ៍

៤.៦.១. ផ្ទាំងទូទៅ

តារាង 9 ។

ផ្ទាំងទូទៅ

ប៉ារ៉ាម៉ែត្រ

តម្លៃ

ការកំណត់រចនាសម្ព័ន្ធពហុគុណ

គុណការបញ្ចូល 'dataa' ដោយការបញ្ចូល 'datab'

តម្លៃលំនាំដើម

ការពិពណ៌នា

គុណការបញ្ចូល 'dataa' ដោយការបញ្ចូល 'datab'

ជ្រើសរើសការកំណត់ដែលចង់បានសម្រាប់មេគុណ។
បន្ត…

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 18

ផ្ញើមតិកែលម្អ

4. LPM_MULT (មេគុណ) IP Core 683490 | 2020.10.05

ប៉ារ៉ាម៉ែត្រ
តើការបញ្ចូល 'dataa' គួរមានទំហំប៉ុនណា? តើការបញ្ចូល 'datab' គួរមានទំហំប៉ុនណា? តើទទឹងនៃលទ្ធផល 'លទ្ធផល' គួរកំណត់ដោយរបៀបណា? ដាក់កម្រិតទទឹង

តម្លៃ
គុណការបញ្ចូល 'dataa' ដោយខ្លួនឯង (ប្រតិបត្តិការការ៉េ)
១៦-២៤ ប៊ីត

តម្លៃលំនាំដើម

ការពិពណ៌នា

8 ប៊ីត

បញ្ជាក់ទទឹងនៃច្រក dataa[] ។

១៦-២៤ ប៊ីត

8 ប៊ីត

បញ្ជាក់ទទឹងនៃច្រក datab[] ។

គណនាទទឹងដោយស្វ័យប្រវត្តិ ដាក់កម្រិតទទឹង
១៦-២៤ ប៊ីត

គណនាទទឹងដោយស្វ័យប្រវត្តិ

ជ្រើសរើសវិធីសាស្ត្រដែលចង់បានដើម្បីកំណត់ទទឹងនៃច្រកលទ្ធផល។

16 ប៊ីត

បញ្ជាក់ទទឹងនៃច្រកលទ្ធផល[]។
តម្លៃនេះនឹងមានប្រសិទ្ធភាពលុះត្រាតែអ្នកជ្រើសរើសដាក់កម្រិតទទឹងក្នុងប៉ារ៉ាម៉ែត្រប្រភេទ។

៤.៦.២. ផ្ទាំង 4.6.2 ទូទៅ

តារាង 10. ទូទៅ 2 ផ្ទាំង

ប៉ារ៉ាម៉ែត្រ

តម្លៃ

ការបញ្ចូលទិន្នន័យ

តើឡានក្រុងបញ្ចូល 'datab' មានតម្លៃថេរទេ?

ទេ បាទ

ប្រភេទគុណ

ប្រភេទណា

មិនបានចុះហត្ថលេខា

តើអ្នកចង់បានគុណទេ? បាន​ចុះហត្ថលេខា​លើ

ការអនុវត្ត

តើការអនុវត្តមេគុណមួយណាដែលត្រូវប្រើ?

ប្រើការអនុវត្តលំនាំដើម
ប្រើសៀគ្វីមេគុណពិសេស (មិនមានសម្រាប់គ្រួសារទាំងអស់ទេ)
ប្រើធាតុតក្កវិជ្ជា

តម្លៃលំនាំដើម

ការពិពណ៌នា

ទេ

ជ្រើសរើស បាទ ដើម្បីបញ្ជាក់តម្លៃថេរនៃ

'datab' ឡានក្រុងបញ្ចូលប្រសិនបើមាន។

មិនបានចុះហត្ថលេខា

បញ្ជាក់ទម្រង់តំណាងសម្រាប់ទាំង dataa[] និង datab[] បញ្ចូល។

ប្រើអ៊ីយ៉ុងអនុវត្តលំនាំដើម

ជ្រើសរើសវិធីសាស្ត្រដែលចង់បានដើម្បីកំណត់ទទឹងនៃច្រកលទ្ធផល។

៤.៦.៣. ផ្ទាំងបំពង់

តារាងទី 11. ផ្ទាំងបំពង់

ប៉ារ៉ាម៉ែត្រ

តើអ្នកចង់ដាក់បំពង់លេខ

មុខងារ?

បាទ

តម្លៃ

បង្កើត 'aclr'

ច្រកច្បាស់លាស់អសមកាល

តម្លៃលំនាំដើម

ការពិពណ៌នា

ទេ

ជ្រើសរើស បាទ/ចាស ដើម្បីបើកការចុះឈ្មោះបំពង់ទៅកាន់

លទ្ធផលរបស់មេគុណ និងបញ្ជាក់ការចង់បាន

ភាពយឺតយ៉ាវនៃទិន្នផលនៅក្នុងវដ្តនាឡិកា។ ការបើកដំណើរការ

ការចុះឈ្មោះបំពង់បន្ថែមភាពយឺតយ៉ាវបន្ថែមទៅ

ទិន្នផល។

មិនបានធីក

ជ្រើសរើសជម្រើសនេះដើម្បីបើកច្រក aclr ដើម្បីប្រើអសមកាលច្បាស់លាស់សម្រាប់ការចុះឈ្មោះបំពង់។
បន្ត…

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 19

4. LPM_MULT (មេគុណ) IP Core 683490 | 2020.10.05

ប៉ារ៉ាម៉ែត្រ
បង្កើតនាឡិកា 'clken' បើកនាឡិកា
ការបង្កើនប្រសិទ្ធភាព
តើអ្នកចង់បានការបង្កើនប្រសិទ្ធភាពប្រភេទណា?

តម្លៃ —
តំបន់ល្បឿនលំនាំដើម

តម្លៃលំនាំដើម

ការពិពណ៌នា

មិនបានធីក

បញ្ជាក់ការបើកនាឡិកាកម្រិតខ្ពស់សកម្មសម្រាប់ច្រកនាឡិកានៃការចុះឈ្មោះបំពង់

លំនាំដើម

បញ្ជាក់ការបង្កើនប្រសិទ្ធភាពដែលចង់បានសម្រាប់ស្នូល IP ។
ជ្រើសរើសលំនាំដើមដើម្បីឱ្យកម្មវិធី Intel Quartus Prime កំណត់ការបង្កើនប្រសិទ្ធភាពល្អបំផុតសម្រាប់ស្នូល IP ។

៤.៧. ប៉ារ៉ាម៉ែត្រសម្រាប់ឧបករណ៍ Intel Stratix 4.7, Intel Arria 10 និង Intel Cyclone 10 GX ឧបករណ៍

៤.៦.១. ផ្ទាំងទូទៅ

តារាង 12. ផ្ទាំងទូទៅ

ប៉ារ៉ាម៉ែត្រ

តម្លៃ

តម្លៃលំនាំដើម

ការពិពណ៌នា

ប្រភេទការកំណត់រចនាសម្ព័ន្ធពហុគុណ
ទទឹងច្រកទិន្នន័យ

គុណការបញ្ចូល 'dataa' ដោយការបញ្ចូល 'datab'
គុណការបញ្ចូល 'dataa' ដោយខ្លួនឯង (ប្រតិបត្តិការការ៉េ)

គុណការបញ្ចូល 'dataa' ដោយការបញ្ចូល 'datab'

ជ្រើសរើសការកំណត់ដែលចង់បានសម្រាប់មេគុណ។

ទទឹងទិន្នន័យ

១៦-២៤ ប៊ីត

8 ប៊ីត

បញ្ជាក់ទទឹងនៃច្រក dataa[] ។

ទទឹងទិន្នន័យ

១៦-២៤ ប៊ីត

8 ប៊ីត

បញ្ជាក់ទទឹងនៃច្រក datab[] ។

តើទទឹងនៃលទ្ធផល 'លទ្ធផល' គួរកំណត់ដោយរបៀបណា?

ប្រភេទ

គណនាទទឹងដោយស្វ័យប្រវត្តិ
ដាក់កម្រិតទទឹង

គណនាទទឹងដោយស្វ័យប្រវត្តិ

ជ្រើសរើសវិធីសាស្ត្រដែលចង់បានដើម្បីកំណត់ទទឹងនៃច្រកលទ្ធផល។

តម្លៃ

១៦-២៤ ប៊ីត

16 ប៊ីត

បញ្ជាក់ទទឹងនៃច្រកលទ្ធផល[]។
តម្លៃនេះនឹងមានប្រសិទ្ធភាពលុះត្រាតែអ្នកជ្រើសរើសដាក់កម្រិតទទឹងក្នុងប៉ារ៉ាម៉ែត្រប្រភេទ។

ទទឹងលទ្ធផល

១៦-២៤ ប៊ីត

បង្ហាញទទឹងប្រសិទ្ធភាពនៃច្រកលទ្ធផល[]។

៤.៦.២. ផ្ទាំង 4.7.2 ទូទៅ

តារាង 13. ទូទៅ 2 ផ្ទាំង

ប៉ារ៉ាម៉ែត្រ

ការបញ្ចូលទិន្នន័យ

តើឡានក្រុងបញ្ចូល 'datab' មានតម្លៃថេរទេ?

ទេ បាទ

តម្លៃ

តម្លៃលំនាំដើម

ការពិពណ៌នា

ទេ

ជ្រើសរើស បាទ ដើម្បីបញ្ជាក់តម្លៃថេរនៃ

'datab' ឡានក្រុងបញ្ចូលប្រសិនបើមាន។

បន្ត…

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 20

ផ្ញើមតិកែលម្អ

4. LPM_MULT (មេគុណ) IP Core 683490 | 2020.10.05

ប៉ារ៉ាម៉ែត្រ

តម្លៃ

តម្លៃ

តម្លៃណាមួយដែលធំជាង 0

ប្រភេទគុណ

ប្រភេទណា

មិនបានចុះហត្ថលេខា

តើអ្នកចង់បានគុណទេ? បាន​ចុះហត្ថលេខា​លើ

រចនាប័ទ្មការអនុវត្ត

តើការអនុវត្តមេគុណមួយណាដែលត្រូវប្រើ?

ប្រើការអនុវត្តលំនាំដើម
ប្រើសៀគ្វីមេគុណដែលបានកំណត់
ប្រើធាតុតក្កវិជ្ជា

តម្លៃលំនាំដើម

ការពិពណ៌នា

0

បញ្ជាក់តម្លៃថេរនៃច្រក datab[] ។

មិនបានចុះហត្ថលេខា

បញ្ជាក់ទម្រង់តំណាងសម្រាប់ទាំង dataa[] និង datab[] បញ្ចូល។

ប្រើអ៊ីយ៉ុងអនុវត្តលំនាំដើម

ជ្រើសរើសវិធីសាស្ត្រដែលចង់បានដើម្បីកំណត់ទទឹងនៃច្រកលទ្ធផល។

៤.៧.៣. ការដាក់បំពង់

តារាងទី 14. ផ្ទាំងបំពង់

ប៉ារ៉ាម៉ែត្រ

តម្លៃ

តើ​អ្នក​ចង់​ដាក់​បំពង់​មុខងារ​ដែរ​ឬ​ទេ?

បំពង់

ទេ បាទ

Latency Clear ប្រភេទសញ្ញា

តម្លៃណាមួយដែលធំជាង 0 ។
គ្មាន ACLR SCLR

បង្កើតនាឡិកា 'clken'

បើកនាឡិកា

តើអ្នកចង់បានការបង្កើនប្រសិទ្ធភាពប្រភេទណា?

ប្រភេទ

តំបន់ល្បឿនលំនាំដើម

តម្លៃលំនាំដើម

ការពិពណ៌នា

លេខ 1 គ្មាន

ជ្រើសរើស បាទ/ចាស ដើម្បីបើកការចុះឈ្មោះបំពង់ទៅកាន់លទ្ធផលរបស់មេគុណ។ ការបើកដំណើរការចុះឈ្មោះបំពង់ បន្ថែមភាពយឺតយ៉ាវបន្ថែមដល់ទិន្នផល។
បញ្ជាក់ភាពយឺតនៃទិន្នផលដែលចង់បាននៅក្នុងវដ្តនាឡិកា។
បញ្ជាក់ប្រភេទនៃការកំណត់ឡើងវិញសម្រាប់ការចុះឈ្មោះបំពង់។ ជ្រើសរើស NONE ប្រសិនបើអ្នកមិនប្រើការចុះឈ្មោះបំពង់ណាមួយទេ។ ជ្រើសរើស ACLR ដើម្បីប្រើអសមកាលច្បាស់លាស់សម្រាប់ការចុះឈ្មោះបំពង់។ វានឹងបង្កើតច្រក ACLR ។ ជ្រើសរើស SCLR ដើម្បីប្រើ synchronous clear សម្រាប់ការចុះឈ្មោះបំពង់។ វានឹងបង្កើតច្រក SCLR ។
បញ្ជាក់ការបើកនាឡិកាកម្រិតខ្ពស់សកម្មសម្រាប់ច្រកនាឡិកានៃការចុះឈ្មោះបំពង់

លំនាំដើម

បញ្ជាក់ការបង្កើនប្រសិទ្ធភាពដែលចង់បានសម្រាប់ស្នូល IP ។
ជ្រើសរើសលំនាំដើមដើម្បីឱ្យកម្មវិធី Intel Quartus Prime កំណត់ការធ្វើឱ្យប្រសើរបំផុតសម្រាប់ស្នូល IP ។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 21

683490 | 2020.10.05 ផ្ញើមតិកែលម្អ

5. LPM_ADD_SUB (អ្នកបន្ថែម/អ្នកដក)

រូបភាពទី 4 ។

ស្នូល IP LPM_ADD_SUB អនុញ្ញាតឱ្យអ្នកអនុវត្តកម្មវិធីបន្ថែម ឬអ្នកដកដើម្បីបន្ថែម ឬដកសំណុំទិន្នន័យ ដើម្បីបង្កើតលទ្ធផលដែលមានផលបូក ឬភាពខុសគ្នានៃតម្លៃបញ្ចូល។

រូបខាងក្រោមបង្ហាញពីច្រកសម្រាប់ LPM_ADD_SUB IP core។

ច្រក LPM_ADD_SUB

LPM_ADD_SUB add_sub cin

ទិន្នន័យ[]

នាឡិកា clken datab[] aclr

លទ្ធផល[] overflow cout

inst

5.1. លក្ខណៈពិសេស
ស្នូល IP LPM_ADD_SUB ផ្តល់នូវលក្ខណៈពិសេសដូចខាងក្រោម៖ · បង្កើតកម្មវិធីបន្ថែម ដកដក និងកម្មវិធីបន្ថែម/ដកដែលអាចកំណត់រចនាសម្ព័ន្ធថាមវន្ត
មុខងារ។ ·គាំទ្រទទឹងទិន្នន័យ 1 ប៊ីត។ · គាំទ្រទ្រង់ទ្រាយតំណាងទិន្នន័យដូចជាចុះហត្ថលេខា និងមិនបានចុះហត្ថលេខា។ · គាំទ្រជម្រើសក្នុងការយកតាមខ្លួន (ខ្ចី) អសមកាលច្បាស់លាស់ និងបើកនាឡិកា
ច្រកបញ្ចូល។ · គាំទ្រការអនុវត្ដតាមជម្រើស (ខ្ចីចូល) និងច្រកទិន្នផលលើស។ · កំណត់​រថយន្ត​ក្រុង​ទិន្នន័យ​បញ្ចូល​ណាមួយ​ទៅ​ជា​ថេរ។ · គាំទ្រការដាក់បំពង់ជាមួយនឹងភាពយឺតយ៉ាវទិន្នផលដែលអាចកំណត់បាន។

សាជីវកម្ម Intel ។ រក្សា​រ​សិទ្ធ​គ្រប់យ៉ាង។ Intel, និមិត្តសញ្ញា Intel និងសញ្ញា Intel ផ្សេងទៀតគឺជាពាណិជ្ជសញ្ញារបស់ Intel Corporation ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។ Intel ធានាការអនុវត្តផលិតផល FPGA និង semiconductor របស់ខ្លួនទៅនឹងលក្ខណៈបច្ចេកទេសបច្ចុប្បន្នស្របតាមការធានាស្តង់ដាររបស់ Intel ប៉ុន្តែរក្សាសិទ្ធិក្នុងការផ្លាស់ប្តូរផលិតផល និងសេវាកម្មណាមួយនៅពេលណាមួយដោយមិនមានការជូនដំណឹងជាមុន។ Intel សន្មត់ថាគ្មានទំនួលខុសត្រូវ ឬការទទួលខុសត្រូវដែលកើតចេញពីកម្មវិធី ឬការប្រើប្រាស់ព័ត៌មាន ផលិតផល ឬសេវាកម្មណាមួយដែលបានពិពណ៌នានៅទីនេះ លើកលែងតែមានការយល់ព្រមជាលាយលក្ខណ៍អក្សរដោយ Intel ។ អតិថិជនរបស់ Intel ត្រូវបានណែនាំឱ្យទទួលបានកំណែចុងក្រោយបំផុតនៃការបញ្ជាក់ឧបករណ៍ មុនពេលពឹងផ្អែកលើព័ត៌មានដែលបានបោះពុម្ពផ្សាយណាមួយ និងមុនពេលធ្វើការបញ្ជាទិញផលិតផល ឬសេវាកម្ម។ * ឈ្មោះ និងម៉ាកផ្សេងទៀតអាចត្រូវបានទាមទារជាកម្មសិទ្ធិរបស់អ្នកដទៃ។

ISO 9001:2015 បានចុះឈ្មោះ

5. LPM_ADD_SUB (អ្នកបន្ថែម/ដក) 683490 | 2020.10.05
២.២. គំរូ Verilog HDL
គំរូ Verilog HDL ខាងក្រោមមានទីតាំងនៅក្នុង Verilog Design File (.v) lpm.v ក្នុង ថត edasynthesis ។
ម៉ូឌុល lpm_add_sub (លទ្ធផល, cout, overflow, add_sub, cin, dataa, datab, clock, clken, aclr ); ប៉ារ៉ាម៉ែត្រ lpm_type = "lpm_add_sub"; ប៉ារ៉ាម៉ែត្រ lpm_width = 1; ប៉ារ៉ាម៉ែត្រ lpm_direction = "មិនបានប្រើ"; ប៉ារ៉ាម៉ែត្រ lpm_representation = "ចុះហត្ថលេខា"; ប៉ារ៉ាម៉ែត្រ lpm_pipeline = 0; ប៉ារ៉ាម៉ែត្រ lpm_hint = "មិនបានប្រើ"; បញ្ចូល [lpm_width-1:0] dataa, datab; បញ្ចូល add_sub, cin; នាឡិកាបញ្ចូល; បញ្ចូល clken; បញ្ចូល aclr; លទ្ធផល [lpm_width-1:0] លទ្ធផល; ទិន្នផល cout, លើសចំណុះ; ម៉ូឌុលបញ្ចប់
២.៣. សេចក្តីប្រកាសសមាសធាតុ VHDL
សេចក្តីប្រកាសសមាសភាគ VHDL មានទីតាំងនៅក្នុង VHDL Design File (.vhd) LPM_PACK.vhd ក្នុង librariesvhdllpm ថត។
សមាសភាគ LPM_ADD_SUB ទូទៅ (LPM_WIDTH : ធម្មជាតិ;
LPM_DIRECTION : string := "មិនបានប្រើ"; LPM_REPRESENTATION៖ ខ្សែអក្សរ := “ចុះហត្ថលេខា”; LPM_PIPELINE : ធម្មជាតិ := 0; LPM_TYPE : ខ្សែអក្សរ := L_ADD_SUB; LPM_HINT : string := "មិនបានប្រើ"); port (DATAA : in std_logic_vector(LPM_WIDTH-1 down to 0); DATAB : in std_logic_vector(LPM_WIDTH-1 downto 0); ACLR : in std_logic := '0'; CLOCK : in std_logic := '0'; := '1'; សមាសធាតុបញ្ចប់;
២.៤. សេចក្តីប្រកាស VHDL LIBRARY_USE
សេចក្តីប្រកាស VHDL LIBRARY-USE មិនត្រូវបានទាមទារទេ ប្រសិនបើអ្នកប្រើសេចក្តីប្រកាសសមាសធាតុ VHDL ។
បណ្ណាល័យ lpm; ប្រើ lpm.lpm_components.all;
5.5. ច្រក
តារាងខាងក្រោមរាយបញ្ជីច្រកបញ្ចូល និងទិន្នផលសម្រាប់ស្នូល IP LPM_ADD_SUB ។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 23

5. LPM_ADD_SUB (អ្នកបន្ថែម/ដក) 683490 | 2020.10.05

តារាង 15. LPM_ADD_SUB IP Core Input Ports

ឈ្មោះច្រក

ទាមទារ

ការពិពណ៌នា

ស៊ីន

ទេ

យកតាមលំដាប់លំដោយទាប។ សម្រាប់ប្រតិបត្តិការបន្ថែម តម្លៃលំនាំដើមគឺ 0. សម្រាប់

ប្រតិបត្តិការដកតម្លៃលំនាំដើមគឺ 1 ។

ទិន្នន័យ[]

បាទ

ការបញ្ចូលទិន្នន័យ។ ទំហំនៃច្រកបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ LPM_WIDTH ។

ទិន្នន័យ[]

បាទ

ការបញ្ចូលទិន្នន័យ។ ទំហំនៃច្រកបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ LPM_WIDTH ។

add_sub

ទេ

ច្រកបញ្ចូលស្រេចចិត្តដើម្បីបើកការផ្លាស់ប្តូរថាមវន្តរវាងឧបករណ៍បន្ថែម និងដក

មុខងារ។ ប្រសិនបើប៉ារ៉ាម៉ែត្រ LPM_DIRECTION ត្រូវបានប្រើនោះ add_sub មិនអាចប្រើបានទេ។ ប្រសិនបើ

បានលុបចោល តម្លៃលំនាំដើមគឺ ADD ។ ក្រុមហ៊ុន Intel ណែនាំឱ្យអ្នកប្រើ

ប៉ារ៉ាម៉ែត្រ LPM_DIRECTION ដើម្បីបញ្ជាក់ប្រតិបត្តិការនៃអនុគមន៍ LPM_ADD_SUB,

ជាជាងផ្តល់តម្លៃថេរទៅច្រក add_sub ។

នាឡិកា

ទេ

ការបញ្ចូលសម្រាប់ការប្រើប្រាស់បំពង់។ ច្រកនាឡិកាផ្តល់នូវការបញ្ចូលនាឡិកាសម្រាប់បំពង់បង្ហូរ

ប្រតិបត្តិការ។ សម្រាប់តម្លៃ LPM_PIPELINE ក្រៅពី 0 (លំនាំដើម) ច្រកនាឡិកាត្រូវតែជា

បានបើកដំណើរការ។

ក្លិន

ទេ

នាឡិកាបើកសម្រាប់ការប្រើប្រាស់បំពង់។ នៅពេលដែលច្រក clken ត្រូវបានអះអាងខ្ពស់នោះ adder/

ប្រតិបត្តិការដកកើតឡើង។ នៅពេលដែលសញ្ញាមានកម្រិតទាប គ្មានប្រតិបត្តិការណាមួយកើតឡើងទេ។ ប្រសិនបើ

បានលុបចោល តម្លៃលំនាំដើមគឺ 1 ។

aclr

ទេ

អសមកាលច្បាស់លាស់សម្រាប់ការប្រើប្រាស់បំពង់។ បំពង់​ចាប់ផ្តើម​ទៅជា​មិន​កំណត់ (X)

កម្រិតតក្កវិជ្ជា។ ច្រក aclr អាច​ត្រូវ​បាន​ប្រើ​គ្រប់​ពេល​វេលា​ដើម្បី​កំណត់​បំពង់​បង្ហូរ​ទៅ​គ្រប់ 0s,

អសមកាលទៅនឹងសញ្ញានាឡិកា។

តារាង 16. LPM_ADD_SUB IP Core Output Ports

ឈ្មោះច្រក

ទាមទារ

ការពិពណ៌នា

លទ្ធផល[]

បាទ

ទិន្នផលទិន្នន័យ។ ទំហំនៃច្រកលទ្ធផលអាស្រ័យលើប៉ារ៉ាម៉ែត្រ LPM_WIDTH

តម្លៃ។

cout

ទេ

អនុវត្ត (ខ្ចី) នៃប៊ីតដ៏សំខាន់បំផុត (MSB) ។ ច្រក cout មានរូបរាងកាយ

ការបកស្រាយជាការអនុវត្ត (ខ្ចី) នៃ MSB ។ ច្រក cout រកឃើញ

លើសចំណុះនៅក្នុងប្រតិបត្តិការដែលមិនបានចុះហត្ថលេខា។ ច្រក cout ដំណើរការក្នុងលក្ខណៈដូចគ្នាសម្រាប់

ប្រតិបត្តិការដែលបានចុះហត្ថលេខា និងមិនបានចុះហត្ថលេខា។

ហៀរ

ទេ

ទិន្នផលលើកលែងលើសជម្រើស។ ច្រកហៀរចេញមានការបកស្រាយរូបវន្តថាជា

XOR នៃការដឹកជញ្ជូនទៅ MSB ជាមួយនឹងការអនុវត្តពី MSB ។ ច្រកហូរហៀរ

អះអាងនៅពេលដែលលទ្ធផលលើសពីភាពជាក់លាក់ដែលមាន ហើយត្រូវបានប្រើតែនៅពេលដែល

តម្លៃប៉ារ៉ាម៉ែត្រ LPM_REPRESENTATION ត្រូវបានចុះហត្ថលេខា។

5.6. ប៉ារ៉ាម៉ែត្រ

តារាងខាងក្រោមរាយបញ្ជីប៉ារ៉ាម៉ែត្រស្នូល IP LPM_ADD_SUB ។

តារាង 17. LPM_ADD_SUB ប៉ារ៉ាម៉ែត្រស្នូល IP

ឈ្មោះប៉ារ៉ាម៉ែត្រ LPM_WIDTH

វាយបញ្ចូលចំនួនគត់

ទាមទារ បាទ

ការពិពណ៌នា
បញ្ជាក់ទទឹងនៃច្រក dataa[], datab[], និងលទ្ធផល[] ports ។

LPM_DIRECTION

ខ្សែអក្សរ

ទេ

តម្លៃគឺ ADD, SUB, និង UNUSED។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមគឺ DEFAULT ដែលដឹកនាំប៉ារ៉ាម៉ែត្រដើម្បីយកតម្លៃរបស់វាពីច្រក add_sub ។ ច្រក add_sub មិនអាចប្រើបានទេ ប្រសិនបើ LPM_DIRECTION ត្រូវបានប្រើ។ Intel ណែនាំឱ្យអ្នកប្រើប៉ារ៉ាម៉ែត្រ LPM_DIRECTION ដើម្បីបញ្ជាក់ប្រតិបត្តិការនៃអនុគមន៍ LPM_ADD_SUB ជាជាងផ្តល់តម្លៃថេរទៅច្រក add_sub ។
បន្ត…

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 24

ផ្ញើមតិកែលម្អ

5. LPM_ADD_SUB (អ្នកបន្ថែម/ដក) 683490 | 2020.10.05

ឈ្មោះប៉ារ៉ាម៉ែត្រ LPM_REPRESENTATION LPM_PIPELINE LPM_HINT LPM_TYPE ONE_INPUT_IS_CONSTANT MAXIMIZE_SPEED
INTENDED_DEVICE_FAMILY

វាយបញ្ចូលចំនួនគត់ String String String String Integer
ខ្សែអក្សរ

ទាមទារ អត់ អត់ អត់ អត់ អត់ ទេ។
ទេ

ការពិពណ៌នា
បញ្ជាក់ប្រភេទនៃការបន្ថែមដែលបានអនុវត្ត។ តម្លៃត្រូវបានចុះហត្ថលេខា និងមិនបានចុះហត្ថលេខា។ ប្រសិនបើលុបចោល តម្លៃលំនាំដើមត្រូវបានចុះហត្ថលេខា។ នៅពេលដែលប៉ារ៉ាម៉ែត្រនេះត្រូវបានកំណត់ទៅ SIGNED អ្នកបន្ថែម/ដកបកស្រាយការបញ្ចូលទិន្នន័យជាការបំពេញបន្ថែមរបស់ចុះហត្ថលេខាទាំងពីរ។
បញ្ជាក់​ចំនួន​វដ្ត​នៃ​ការ​ពន្យារ​ពេល​ដែល​ទាក់ទង​នឹង​លទ្ធផល[]។ តម្លៃនៃសូន្យ (0) បង្ហាញថាគ្មានភាពយឺតយ៉ាវទេ ហើយមុខងាររួមបញ្ចូលគ្នាសុទ្ធសាធនឹងត្រូវបានធ្វើឱ្យសកម្មភ្លាមៗ។ ប្រសិនបើលុបចោល តម្លៃលំនាំដើមគឺ 0 (មិនមែនបំពង់)។
អនុញ្ញាតឱ្យអ្នកបញ្ជាក់ប៉ារ៉ាម៉ែត្រជាក់លាក់របស់ Intel នៅក្នុងការរចនា VHDL files (.vhd) ។ តម្លៃលំនាំដើមគឺមិនបានប្រើទេ។
កំណត់បណ្ណាល័យនៃឈ្មោះអង្គភាពម៉ូឌុលប៉ារ៉ាម៉ែត្រ (LPM) នៅក្នុងការរចនា VHDL files.
ប៉ារ៉ាម៉ែត្រជាក់លាក់របស់ Intel ។ អ្នកត្រូវតែប្រើប៉ារ៉ាម៉ែត្រ LPM_HINT ដើម្បីបញ្ជាក់ប៉ារ៉ាម៉ែត្រ ONE_INPUT_IS_CONSTANT ក្នុងការរចនា VHDL fileស. តម្លៃគឺ បាទ/ចាស ទេ និងមិនប្រើ។ ផ្តល់នូវការបង្កើនប្រសិទ្ធភាពកាន់តែច្រើន ប្រសិនបើការបញ្ចូលមួយគឺថេរ។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមគឺ NO ។
ប៉ារ៉ាម៉ែត្រជាក់លាក់របស់ Intel ។ អ្នកត្រូវតែប្រើប៉ារ៉ាម៉ែត្រ LPM_HINT ដើម្បីបញ្ជាក់ប៉ារ៉ាម៉ែត្រ MAXIMIZE_SPEED ក្នុងការរចនា VHDL fileស. អ្នកអាចបញ្ជាក់តម្លៃរវាង 0 និង 10។ ប្រសិនបើប្រើ កម្មវិធី Intel Quartus Prime ព្យាយាមបង្កើនប្រសិទ្ធភាពឧទាហរណ៍ជាក់លាក់នៃអនុគមន៍ LPM_ADD_SUB សម្រាប់ល្បឿនជាជាងការបញ្ជូនបន្ត ហើយបដិសេធការកំណត់ជម្រើសតក្កវិជ្ជាបច្ចេកទេសបង្កើនប្រសិទ្ធភាព។ ប្រសិនបើ MAXIMIZE_SPEED មិនប្រើទេ តម្លៃនៃជម្រើសបច្ចេកទេសបង្កើនប្រសិទ្ធភាពត្រូវបានប្រើជំនួសវិញ។ ប្រសិនបើការកំណត់សម្រាប់ MAXIMIZE_SPEED គឺ 6 ឬខ្ពស់ជាងនេះ កម្មវិធីចងក្រងបង្កើនប្រសិទ្ធភាព LPM_ADD_SUB IP core សម្រាប់ល្បឿនកាន់តែខ្ពស់ដោយប្រើខ្សែបញ្ជូនបន្ត។ ប្រសិនបើការកំណត់គឺ 5 ឬតិចជាងនោះ Compiler អនុវត្តការរចនាដោយគ្មានខ្សែជាប់។ ប៉ារ៉ាម៉ែត្រនេះត្រូវតែបញ្ជាក់សម្រាប់ឧបករណ៍ Cyclone, Stratix និង Stratix GX តែនៅពេលដែលច្រក add_sub មិនត្រូវបានប្រើ។
ប៉ារ៉ាម៉ែត្រ​នេះ​ត្រូវ​បាន​ប្រើ​សម្រាប់​គោល​បំណង​ធ្វើ​គំរូ និង​ការ​ក្លែង​ធ្វើ​អាកប្បកិរិយា។ កម្មវិធីកែសម្រួលប៉ារ៉ាម៉ែត្រគណនាតម្លៃសម្រាប់ប៉ារ៉ាម៉ែត្រនេះ។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 25

683490 | 2020.10.05 ផ្ញើមតិកែលម្អ

6. LPM_COMPARE (អ្នកប្រៀបធៀប)

រូបភាពទី 5 ។

ស្នូល IP LPM_COMPARE ប្រៀបធៀបតម្លៃនៃសំណុំទិន្នន័យពីរដើម្បីកំណត់ទំនាក់ទំនងរវាងពួកវា។ នៅក្នុងទម្រង់ដ៏សាមញ្ញបំផុតរបស់វា អ្នកអាចប្រើច្រកផ្តាច់មុខ-OR ដើម្បីកំណត់ថាតើទិន្នន័យពីរប៊ីតស្មើគ្នា។

រូបខាងក្រោមបង្ហាញពីច្រកសម្រាប់ LPM_COMPARE IP core។

ច្រក LPM_COMPARE

LPM_COMPARE

ក្លិន

អាល់ប

អេប

ទិន្នន័យ[]

agb

ទិន្នន័យ[]

អាយុ

នាឡិកា

អេប

aclr

អាឡេប

inst

6.1. លក្ខណៈពិសេស
ស្នូល IP LPM_COMPARE ផ្តល់នូវលក្ខណៈពិសេសដូចខាងក្រោមៈ · បង្កើតមុខងារប្រៀបធៀបដើម្បីប្រៀបធៀបសំណុំទិន្នន័យពីរ · គាំទ្រទទឹងទិន្នន័យ 1 ប៊ីត · គាំទ្រទម្រង់តំណាងទិន្នន័យដូចជាចុះហត្ថលេខា និងមិនបានចុះហត្ថលេខា · ផលិតប្រភេទលទ្ធផលខាងក្រោម៖
— alb (បញ្ចូល A គឺ​តិច​ជាង​បញ្ចូល B) — aeb (បញ្ចូល A គឺ​ស្មើ​នឹង​ការ​បញ្ចូល B) — agb (បញ្ចូល A គឺ​ធំ​ជាង​ការ​បញ្ចូល B) — ageb (បញ្ចូល A គឺ​ធំ​ជាង ឬ​ស្មើ​នឹង​ការ​បញ្ចូល B) — aneb ( ការបញ្ចូល A មិនស្មើនឹងការបញ្ចូល B) — aleb (ការបញ្ចូល A តិចជាង ឬស្មើទៅនឹងការបញ្ចូល B) · គាំទ្រជម្រើសមិនសមកាលកម្មច្បាស់លាស់ និងនាឡិកាបើកច្រកបញ្ចូល · កំណត់ការបញ្ចូល datab[] ទៅជាថេរ · គាំទ្រការដាក់បំពង់ជាមួយនឹងភាពយឺតនៃទិន្នផលដែលអាចកំណត់បាន។

សាជីវកម្ម Intel ។ រក្សា​រ​សិទ្ធ​គ្រប់យ៉ាង។ Intel, និមិត្តសញ្ញា Intel និងសញ្ញា Intel ផ្សេងទៀតគឺជាពាណិជ្ជសញ្ញារបស់ Intel Corporation ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។ Intel ធានាការអនុវត្តផលិតផល FPGA និង semiconductor របស់ខ្លួនទៅនឹងលក្ខណៈបច្ចេកទេសបច្ចុប្បន្នស្របតាមការធានាស្តង់ដាររបស់ Intel ប៉ុន្តែរក្សាសិទ្ធិក្នុងការផ្លាស់ប្តូរផលិតផល និងសេវាកម្មណាមួយនៅពេលណាមួយដោយមិនមានការជូនដំណឹងជាមុន។ Intel សន្មត់ថាគ្មានទំនួលខុសត្រូវ ឬការទទួលខុសត្រូវដែលកើតចេញពីកម្មវិធី ឬការប្រើប្រាស់ព័ត៌មាន ផលិតផល ឬសេវាកម្មណាមួយដែលបានពិពណ៌នានៅទីនេះ លើកលែងតែមានការយល់ព្រមជាលាយលក្ខណ៍អក្សរដោយ Intel ។ អតិថិជនរបស់ Intel ត្រូវបានណែនាំឱ្យទទួលបានកំណែចុងក្រោយបំផុតនៃការបញ្ជាក់ឧបករណ៍ មុនពេលពឹងផ្អែកលើព័ត៌មានដែលបានបោះពុម្ពផ្សាយណាមួយ និងមុនពេលធ្វើការបញ្ជាទិញផលិតផល ឬសេវាកម្ម។ * ឈ្មោះ និងម៉ាកផ្សេងទៀតអាចត្រូវបានទាមទារជាកម្មសិទ្ធិរបស់អ្នកដទៃ។

ISO 9001:2015 បានចុះឈ្មោះ

6. LPM_COMPARE (អ្នកប្រៀបធៀប) 683490 | 2020.10.05
២.២. គំរូ Verilog HDL
គំរូ Verilog HDL ខាងក្រោមមានទីតាំងនៅក្នុង Verilog Design File (.v) lpm.v ក្នុង ថត edasynthesis ។
ម៉ូឌុល lpm_compare ( alb, aeb, agb, aleb, aneb, ageb, dataa, datab, clock, clken, aclr ); ប៉ារ៉ាម៉ែត្រ lpm_type = "lpm_compare"; ប៉ារ៉ាម៉ែត្រ lpm_width = 1; ប៉ារ៉ាម៉ែត្រ lpm_representation = "មិនបានចុះហត្ថលេខា"; ប៉ារ៉ាម៉ែត្រ lpm_pipeline = 0; ប៉ារ៉ាម៉ែត្រ lpm_hint = "មិនបានប្រើ"; បញ្ចូល [lpm_width-1:0] dataa, datab; នាឡិកាបញ្ចូល; បញ្ចូល clken; បញ្ចូល aclr; លទ្ធផល alb, aeb, agb, aleb, aneb, ageb; ម៉ូឌុលបញ្ចប់
២.៣. សេចក្តីប្រកាសសមាសធាតុ VHDL
សេចក្តីប្រកាសសមាសភាគ VHDL មានទីតាំងនៅក្នុង VHDL Design File (.vhd) LPM_PACK.vhd ក្នុង librariesvhdllpm ថត។
សមាសភាគ LPM_COMPARE ទូទៅ (LPM_WIDTH : ធម្មជាតិ;
LPM_REPRESENTATION : string := “UNSIGNED”; LPM_PIPELINE : ធម្មជាតិ := 0; LPM_TYPE៖ ខ្សែអក្សរ := L_COMPARE; LPM_HINT : string := "មិនបានប្រើ"); port (DATAA : in std_logic_vector(LPM_WIDTH-1 down to 0); DATAB : in std_logic_vector(LPM_WIDTH-1 downto 0); ACLR : in std_logic := '0'; CLOCK : in std_logic := '0'; := '1'; AGB: out std_logic; សមាសធាតុបញ្ចប់;
២.៤. សេចក្តីប្រកាស VHDL LIBRARY_USE
សេចក្តីប្រកាស VHDL LIBRARY-USE មិនត្រូវបានទាមទារទេ ប្រសិនបើអ្នកប្រើសេចក្តីប្រកាសសមាសធាតុ VHDL ។
បណ្ណាល័យ lpm; ប្រើ lpm.lpm_components.all;
6.5. ច្រក
តារាងខាងក្រោមរាយបញ្ជីច្រកបញ្ចូល និងទិន្នផលសម្រាប់ស្នូល IP LMP_COMPARE ។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 27

6. LPM_COMPARE (អ្នកប្រៀបធៀប) 683490 | 2020.10.05

តារាងទី 18. LPM_COMPARE ច្រកបញ្ចូលស្នូល IP

ឈ្មោះច្រក

ទាមទារ

ការពិពណ៌នា

ទិន្នន័យ[]

បាទ

ការបញ្ចូលទិន្នន័យ។ ទំហំនៃច្រកបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ LPM_WIDTH ។

ទិន្នន័យ[]

បាទ

ការបញ្ចូលទិន្នន័យ។ ទំហំនៃច្រកបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ LPM_WIDTH ។

នាឡិកា

ទេ

ការបញ្ចូលនាឡិកាសម្រាប់ការប្រើប្រាស់បំពង់។ ច្រកនាឡិកាផ្តល់នូវការបញ្ចូលនាឡិកាសម្រាប់បំពង់បង្ហូរ

ប្រតិបត្តិការ។ សម្រាប់តម្លៃ LPM_PIPELINE ក្រៅពី 0 (លំនាំដើម) ច្រកនាឡិកាត្រូវតែជា

បានបើកដំណើរការ។

ក្លិន

ទេ

នាឡិកាបើកសម្រាប់ការប្រើប្រាស់បំពង់។ នៅពេលដែលច្រក clken ត្រូវបានអះអាងខ្ពស់, the

ប្រតិបត្តិការប្រៀបធៀបកើតឡើង។ នៅពេលដែលសញ្ញាមានកម្រិតទាប គ្មានប្រតិបត្តិការណាមួយកើតឡើងទេ។ ប្រសិនបើ

បានលុបចោល តម្លៃលំនាំដើមគឺ 1 ។

aclr

ទេ

អសមកាលច្បាស់លាស់សម្រាប់ការប្រើប្រាស់បំពង់។ បំពង់ចាប់ផ្តើមទៅជាតក្កវិជ្ជាដែលមិនបានកំណត់ (X)

កម្រិត។ ច្រក aclr អាច​ត្រូវ​បាន​ប្រើ​គ្រប់​ពេល​វេលា​ដើម្បី​កំណត់​បំពង់​បង្ហូរ​ទៅ​គ្រប់ 0s,

អសមកាលទៅនឹងសញ្ញានាឡិកា។

តារាង 19. LPM_COMPARE IP core Output Ports

ឈ្មោះច្រក

ទាមទារ

ការពិពណ៌នា

អាល់ប

ទេ

ច្រកចេញសម្រាប់ឧបករណ៍ប្រៀបធៀប។ បញ្ជាក់ប្រសិនបើការបញ្ចូល A តិចជាងការបញ្ចូល B ។

អេប

ទេ

ច្រកចេញសម្រាប់ឧបករណ៍ប្រៀបធៀប។ បញ្ជាក់ប្រសិនបើការបញ្ចូល A ស្មើនឹងការបញ្ចូល B ។

agb

ទេ

ច្រកចេញសម្រាប់ឧបករណ៍ប្រៀបធៀប។ បញ្ជាក់ប្រសិនបើការបញ្ចូល A ធំជាងការបញ្ចូល B ។

អាយុ

ទេ

ច្រកចេញសម្រាប់ឧបករណ៍ប្រៀបធៀប។ អះអាងប្រសិនបើការបញ្ចូល A ធំជាង ឬស្មើនឹងការបញ្ចូល

B.

អេប

ទេ

ច្រកចេញសម្រាប់ឧបករណ៍ប្រៀបធៀប។ បញ្ជាក់ប្រសិនបើការបញ្ចូល A មិនស្មើនឹងការបញ្ចូល B ។

អាឡេប

ទេ

ច្រកចេញសម្រាប់ឧបករណ៍ប្រៀបធៀប។ បញ្ជាក់ប្រសិនបើការបញ្ចូល A តិចជាងឬស្មើនឹងការបញ្ចូល B ។

6.6. ប៉ារ៉ាម៉ែត្រ

តារាងខាងក្រោមរាយបញ្ជីប៉ារ៉ាម៉ែត្រសម្រាប់ស្នូល IP LPM_COMPARE ។

តារាង 20. LPM_COMPARE ប៉ារ៉ាម៉ែត្រស្នូល IP

ឈ្មោះប៉ារ៉ាម៉ែត្រ

ប្រភេទ

ទាមទារ

LPM_WIDTH

ចំនួនគត់ បាទ

LPM_REPRESENTATION

ខ្សែអក្សរ

ទេ

LPM_PIPELINE

ចំនួនគត់

LPM_HINT

ខ្សែអក្សរ

ទេ

ការពិពណ៌នា
បញ្ជាក់ទទឹងនៃច្រក dataa[] និង datab[] ។
បញ្ជាក់ប្រភេទនៃការប្រៀបធៀបដែលបានអនុវត្ត។ តម្លៃត្រូវបានចុះហត្ថលេខា និងមិនបានចុះហត្ថលេខា។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមមិនត្រូវបានចុះហត្ថលេខា។ នៅពេលដែលតម្លៃប៉ារ៉ាម៉ែត្រនេះត្រូវបានកំណត់ទៅ SIGNED អ្នកប្រៀបធៀបបកស្រាយការបញ្ចូលទិន្នន័យជាការបំពេញបន្ថែមរបស់ចុះហត្ថលេខាទាំងពីរ។
បញ្ជាក់​ចំនួន​វដ្ត​នាឡិកា​នៃ​ភាពយឺតយ៉ាវ​ដែល​ទាក់ទង​នឹង​លទ្ធផល alb, aeb, agb, ageb, aleb ឬ aneb output។ តម្លៃនៃសូន្យ (0) បង្ហាញថាគ្មានភាពយឺតយ៉ាវទេ ហើយមុខងាររួមបញ្ចូលគ្នាសុទ្ធសាធនឹងត្រូវបានធ្វើឱ្យសកម្មភ្លាមៗ។ ប្រសិនបើលុបចោល តម្លៃលំនាំដើមគឺ 0 (មិនដាក់បំពង់)។
អនុញ្ញាតឱ្យអ្នកបញ្ជាក់ប៉ារ៉ាម៉ែត្រជាក់លាក់របស់ Intel នៅក្នុងការរចនា VHDL files (.vhd) ។ តម្លៃលំនាំដើមគឺមិនបានប្រើទេ។
បន្ត…

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 28

ផ្ញើមតិកែលម្អ

6. LPM_COMPARE (អ្នកប្រៀបធៀប) 683490 | 2020.10.05
ឈ្មោះប៉ារ៉ាម៉ែត្រ LPM_TYPE INTENDED_DEVICE_FAMILY
ONE_INPUT_IS_CONSTANT

វាយ String String
ខ្សែអក្សរ

ទាមទារ No No
ទេ

ការពិពណ៌នា
កំណត់បណ្ណាល័យនៃឈ្មោះអង្គភាពម៉ូឌុលប៉ារ៉ាម៉ែត្រ (LPM) នៅក្នុងការរចនា VHDL files.
ប៉ារ៉ាម៉ែត្រ​នេះ​ត្រូវ​បាន​ប្រើ​សម្រាប់​គោល​បំណង​ធ្វើ​គំរូ និង​ការ​ក្លែង​ធ្វើ​អាកប្បកិរិយា។ កម្មវិធីកែសម្រួលប៉ារ៉ាម៉ែត្រគណនាតម្លៃសម្រាប់ប៉ារ៉ាម៉ែត្រនេះ។
ប៉ារ៉ាម៉ែត្រជាក់លាក់របស់ Intel ។ អ្នកត្រូវតែប្រើប៉ារ៉ាម៉ែត្រ LPM_HINT ដើម្បីបញ្ជាក់ប៉ារ៉ាម៉ែត្រ ONE_INPUT_IS_CONSTANT ក្នុងការរចនា VHDL fileស. តម្លៃគឺ បាទ/ចាស ទេ ឬមិនបានប្រើ។ ផ្តល់នូវការបង្កើនប្រសិទ្ធភាពកាន់តែច្រើន ប្រសិនបើការបញ្ចូលគឺថេរ។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមគឺ NO ។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 29

683490 | 2020.10.05 ផ្ញើមតិកែលម្អ

7. ALTECC (លេខកូដកែកំហុស៖ អ៊ិនកូដឌ័រ/ឌិកូដ) IP Core

រូបភាពទី 6 ។

Intel ផ្តល់ស្នូល ALTECC IP ដើម្បីអនុវត្តមុខងារ ECC ។ ECC រកឃើញទិន្នន័យដែលខូចដែលកើតឡើងនៅផ្នែកអ្នកទទួលកំឡុងពេលបញ្ជូនទិន្នន័យ។ វិធីសាស្ត្រកែកំហុសនេះគឺសមបំផុតសម្រាប់ស្ថានភាពដែលកំហុសកើតឡើងដោយចៃដន្យ ជាជាងការផ្ទុះឡើង។

ECC រកឃើញកំហុសតាមរយៈដំណើរការនៃការអ៊ិនកូដ និងឌិកូដទិន្នន័យ។ សម្រាប់អតីតampដូច្នេះនៅពេលដែល ECC ត្រូវបានអនុវត្តនៅក្នុងកម្មវិធីបញ្ជូនទិន្នន័យដែលអានពីប្រភពត្រូវបានអ៊ិនកូដមុនពេលបញ្ជូនទៅអ្នកទទួល។ លទ្ធផល (ពាក្យកូដ) ពីឧបករណ៍បំប្លែងកូដមានទិន្នន័យឆៅបន្ថែមជាមួយចំនួនប៊ីត parity ។ ចំនួនពិតប្រាកដនៃប៊ីតដែលភ្ជាប់មកជាមួយ អាស្រ័យលើចំនួនប៊ីតនៅក្នុងទិន្នន័យបញ្ចូល។ បន្ទាប់មកពាក្យកូដដែលបានបង្កើតត្រូវបានបញ្ជូនទៅកាន់គោលដៅ។

អ្នកទទួលទទួលពាក្យកូដ ហើយឌិកូដវា។ ព័ត៌មានដែលទទួលបានដោយឧបករណ៍ឌិកូដកំណត់ថាតើមានកំហុសត្រូវបានរកឃើញឬអត់។ ឧបករណ៍ឌិកូដរកឃើញកំហុសមួយប៊ីត និងប៊ីតទ្វេ ប៉ុន្តែអាចជួសជុលកំហុសតែមួយប៊ីតនៅក្នុងទិន្នន័យដែលខូច។ ប្រភេទនៃ ECC នេះគឺជាការកែកំហុសតែមួយ ការរកឃើញកំហុសពីរដង (SECDED) ។

អ្នកអាចកំណត់មុខងារបំប្លែងកូដ និងឌិកូដរបស់ ALTECC IP core។ ការបញ្ចូលទិន្នន័យទៅឧបករណ៍បំប្លែងកូដត្រូវបានអ៊ិនកូដដើម្បីបង្កើតពាក្យកូដដែលជាការរួមបញ្ចូលគ្នានៃការបញ្ចូលទិន្នន័យ និងប៊ីតភាពស្មើគ្នាដែលបានបង្កើត។ ពាក្យកូដដែលបានបង្កើតត្រូវបានបញ្ជូនទៅម៉ូឌុលឌិកូដសម្រាប់ការឌិកូដមុនពេលទៅដល់ប្លុកគោលដៅរបស់វា។ ឧបករណ៍ឌិកូដបង្កើតវ៉ិចទ័ររោគសញ្ញាដើម្បីកំណត់ថាតើមានកំហុសណាមួយនៅក្នុងពាក្យកូដដែលបានទទួល។ ឧបករណ៍ឌិកូដកែទិន្នន័យបានលុះត្រាតែមានកំហុសមួយប៊ីតមកពីប៊ីតទិន្នន័យ។ គ្មាន​សញ្ញា​ត្រូវ​បាន​សម្គាល់​ទេ ប្រសិនបើ​កំហុស​ប៊ីត​មួយ​ប៊ីត​មក​ពី​ប៊ីត​ស្មើ។ ឧបករណ៍ឌិកូដក៏មានសញ្ញាទង់ដើម្បីបង្ហាញស្ថានភាពនៃទិន្នន័យដែលទទួលបាន និងសកម្មភាពដែលបានធ្វើឡើងដោយអ្នកឌិកូដ ប្រសិនបើមាន។

តួលេខខាងក្រោមបង្ហាញពីច្រកសម្រាប់ស្នូល ALTECC IP ។

ច្រកអ៊ិនកូដឌ័រ ALTECC

ALTECC_ENCODER

ទិន្នន័យ[]

q[]

នាឡិកា

នាឡិកា

aclr

inst

សាជីវកម្ម Intel ។ រក្សា​រ​សិទ្ធ​គ្រប់យ៉ាង។ Intel, និមិត្តសញ្ញា Intel និងសញ្ញា Intel ផ្សេងទៀតគឺជាពាណិជ្ជសញ្ញារបស់ Intel Corporation ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។ Intel ធានាការអនុវត្តផលិតផល FPGA និង semiconductor របស់ខ្លួនទៅនឹងលក្ខណៈបច្ចេកទេសបច្ចុប្បន្នស្របតាមការធានាស្តង់ដាររបស់ Intel ប៉ុន្តែរក្សាសិទ្ធិក្នុងការផ្លាស់ប្តូរផលិតផល និងសេវាកម្មណាមួយនៅពេលណាមួយដោយមិនមានការជូនដំណឹងជាមុន។ Intel សន្មត់ថាគ្មានទំនួលខុសត្រូវ ឬការទទួលខុសត្រូវដែលកើតចេញពីកម្មវិធី ឬការប្រើប្រាស់ព័ត៌មាន ផលិតផល ឬសេវាកម្មណាមួយដែលបានពិពណ៌នានៅទីនេះ លើកលែងតែមានការយល់ព្រមជាលាយលក្ខណ៍អក្សរដោយ Intel ។ អតិថិជនរបស់ Intel ត្រូវបានណែនាំឱ្យទទួលបានកំណែចុងក្រោយបំផុតនៃការបញ្ជាក់ឧបករណ៍ មុនពេលពឹងផ្អែកលើព័ត៌មានដែលបានបោះពុម្ពផ្សាយណាមួយ និងមុនពេលធ្វើការបញ្ជាទិញផលិតផល ឬសេវាកម្ម។ * ឈ្មោះ និងម៉ាកផ្សេងទៀតអាចត្រូវបានទាមទារជាកម្មសិទ្ធិរបស់អ្នកដទៃ។

ISO 9001:2015 បានចុះឈ្មោះ

7. ALTECC (លេខកូដកែកំហុស៖ អ៊ិនកូដ/ឌិកូដ) IP Core 683490 | 2020.10.05

រូបភាពទី 7. ច្រកឌិកូដ ALTECC

ALTECC_DECODER

data[] ទ្រនិចនាឡិកា

q[] err_detected err_corrected
err_fatal

aclr

inst

៧.១. លក្ខណៈពិសេស ALTECC អ៊ិនកូដឌ័រ

ស្នូល IP របស់ ALTECC ផ្តល់នូវលក្ខណៈពិសេសដូចខាងក្រោមៈ · អនុវត្តការអ៊ិនកូដទិន្នន័យដោយប្រើគ្រោងការណ៍ Hamming Coding · គាំទ្រទទឹងទិន្នន័យ 2 ប៊ីត · គាំទ្រទម្រង់តំណាងទិន្នន័យដែលបានចុះហត្ថលេខា និងមិនបានចុះហត្ថលេខា · គាំទ្រការដាក់បំពង់ជាមួយនឹងភាពយឺតនៃទិន្នផលនៃវដ្តនាឡិកាមួយ ឬពីរ · គាំទ្រជាជម្រើស អសមកាលច្បាស់លាស់ និងនាឡិកាបើកច្រក

ស្នូល IP របស់ ALTECC ចូល និងអ៊ិនកូដទិន្នន័យដោយប្រើគ្រោងការណ៍ Hamming Coding ។ គ្រោងការណ៍ Hamming Coding ទាញយកប៊ីត parity និងបន្ថែមពួកវាទៅទិន្នន័យដើមដើម្បីបង្កើតពាក្យកូដលទ្ធផល។ ចំនួន​ប៊ីត​ដែល​បាន​បញ្ចូល​បន្ថែម​អាស្រ័យ​លើ​ទទឹង​ទិន្នន័យ។

តារាងខាងក្រោមរាយបញ្ជីចំនួនប៊ីត parity បន្ថែមសម្រាប់ជួរផ្សេងគ្នានៃទទឹងទិន្នន័យ។ ជួរឈរ Total Bits តំណាងឱ្យចំនួនសរុបនៃប៊ីតទិន្នន័យបញ្ចូល និងប៊ីតភាពស្មើគ្នាដែលបានបន្ថែម។

តារាង 21 ។

ចំនួន Parity Bits និង Code Word យោងតាមទទឹងទិន្នន័យ

ទទឹងទិន្នន័យ

ចំនួន Parity Bits

ប៊ីតសរុប (ពាក្យកូដ)

០១៤៨៦០៧៤-០០៤

3+1

០១៤៨៦០៧៤-០០៤

០១៤៨៦០៧៤-០០៤

4+1

០១៤៨៦០៧៤-០០៤

០១៤៨៦០៧៤-០០៤

5+1

០១៤៨៦០៧៤-០០៤

០១៤៨៦០៧៤-០០៤

6+1

០១៤៨៦០៧៤-០០៤

០១៤៨៦០៧៤-០០៤

7+1

០១៤៨៦០៧៤-០០៤

ដេរីវេប៊ីត parity ប្រើការពិនិត្យមើលភាពស្មើគ្នា។ 1 ប៊ីតបន្ថែម (បង្ហាញក្នុងតារាងជា +1) ត្រូវបានបន្ថែមទៅប៊ីត parity ជា MSB នៃពាក្យកូដ។ នេះធានាថាពាក្យកូដមានលេខគូនៃ 1's ។ សម្រាប់អតីតample ប្រសិនបើទទឹងទិន្នន័យគឺ 4 ប៊ីត 4 ប៊ីត parity ត្រូវបានបន្ថែមទៅទិន្នន័យដើម្បីក្លាយជាពាក្យកូដដែលមានចំនួនសរុប 8 ប៊ីត។ ប្រសិនបើ 7 ប៊ីតពី LSB នៃពាក្យកូដ 8 ប៊ីតមានលេខសេសនៃ 1 នោះ 8 ប៊ីត (MSB) នៃពាក្យកូដគឺ 1 ធ្វើឱ្យចំនួនសរុបនៃ 1 នៅក្នុងពាក្យកូដដូចគ្នា។
តួលេខខាងក្រោមបង្ហាញពីពាក្យកូដដែលបានបង្កើត និងការរៀបចំនៃប៊ីត parity និងប៊ីតទិន្នន័យនៅក្នុងការបញ្ចូលទិន្នន័យ 8 ប៊ីត។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 31

7. ALTECC (លេខកូដកែកំហុស៖ អ៊ិនកូដ/ឌិកូដ) IP Core 683490 | 2020.10.05

រូបភាពទី 8 ។

Parity Bits និង Data Bits Arrangement ក្នុង 8-Bit Generated Code Word

អេសប៊ីប៊ី

អិលអេសប៊ី

4 ប៊ីត parity

4 ប៊ីតទិន្នន័យ

8

1

ស្នូល IP របស់ ALTECC ទទួលយកតែទទឹងបញ្ចូលពី 2 ទៅ 64 ប៊ីតក្នុងពេលតែមួយ។ ទទឹងបញ្ចូលនៃ 12 ប៊ីត 29 ប៊ីត និង 64 ប៊ីត ដែលសមស្របតាមឧត្ដមគតិសម្រាប់ឧបករណ៍ Intel បង្កើតលទ្ធផល 18 ប៊ីត 36 ប៊ីត និង 72 ប៊ីតរៀងគ្នា។ អ្នកអាចគ្រប់គ្រងការកំណត់ជម្រើសប៊ីតនៅក្នុងកម្មវិធីកែសម្រួលប៉ារ៉ាម៉ែត្រ។

៧.២. គំរូ Verilog HDL (ALTECC_ENCODER)
គំរូ Verilog HDL ខាងក្រោមមានទីតាំងនៅក្នុង Verilog Design File (.v) lpm.v ក្នុង ថត edasynthesis ។
ម៉ូឌុល altecc_encoder #( ប៉ារ៉ាម៉ែត្រចេតនា_device_family = "មិនបានប្រើ", ប៉ារ៉ាម៉ែត្រ lpm_pipeline = 0, ប៉ារ៉ាម៉ែត្រ width_codeword = 8, ប៉ារ៉ាម៉ែត្រ width_dataword = 8, ប៉ារ៉ាម៉ែត្រ lpm_type = "altecc_encoder", ប៉ារ៉ាម៉ែត្រ lpm_hint = "មិនបានដាក់ខ្សែនាឡិកា") (បញ្ចូល នាឡិកាលួស ខ្សែបញ្ចូល [width_dataword-1:0] ទិន្នន័យ ខ្សែលទ្ធផល [width_codeword-1:0] q); ម៉ូឌុលបញ្ចប់

៧.៣. គំរូ Verilog HDL (ALTECC_DECODER)
គំរូ Verilog HDL ខាងក្រោមមានទីតាំងនៅក្នុង Verilog Design File (.v) lpm.v ក្នុង ថត edasynthesis ។
ម៉ូឌុល altecc_decoder #( ប៉ារ៉ាម៉ែត្រចេតនា_device_family = "មិនប្រើ", ប៉ារ៉ាម៉ែត្រ lpm_pipeline = 0, ប៉ារ៉ាម៉ែត្រ width_codeword = 8, ប៉ារ៉ាម៉ែត្រ width_dataword = 8, ប៉ារ៉ាម៉ែត្រ lpm_type = "altecc_decoder", ប៉ារ៉ាម៉ែត្រ lpm_hint = "មិនប្រើខ្សែនាឡិកា") (បញ្ចូល wire clocken, input wire [width_codeword-1:0] data, output wire err_corrected, output wire err_detected, outut wire err_fatal, output wire [width_dataword-1:0] q); ម៉ូឌុលបញ្ចប់

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 32

ផ្ញើមតិកែលម្អ

7. ALTECC (លេខកូដកែកំហុស៖ អ៊ិនកូដ/ឌិកូដ) IP Core 683490 | 2020.10.05
៧.៤. សេចក្តីប្រកាសសមាសធាតុ VHDL (ALTECC_ENCODER)
សេចក្តីប្រកាសសមាសភាគ VHDL មានទីតាំងនៅក្នុង VHDL Design File (.vhd) altera_mf_components.vhd ក្នុង librariesvhdlaltera_mf ថត។
សមាសភាគ altecc_encoder ទូទៅ ( បំណង_ឧបករណ៍_គ្រួសារ៖ ខ្សែអក្សរ := "មិនបានប្រើ"; lpm_pipeline: ធម្មជាតិ := 0; width_codeword: ធម្មជាតិ := 8; width_dataword: ធម្មជាតិ := 8; lpm_hint: string := “UN_USED= stringte”; ”); port( aclr: in std_logic := '0'; clock: in std_logic:= '0'; clocken: in std_logic:= '1'; data: in std_logic_vector(width_dataword-1 downto 0); q: out std_logic_vector(width_code -១ ដល់ ០)); សមាសធាតុបញ្ចប់;
៧.៥. សេចក្តីប្រកាសសមាសធាតុ VHDL (ALTECC_DECODER)
សេចក្តីប្រកាសសមាសភាគ VHDL មានទីតាំងនៅក្នុង VHDL Design File (.vhd) altera_mf_components.vhd ក្នុង librariesvhdlaltera_mf ថត។
សមាសភាគ altecc_decoder ទូទៅ ( បំណង_ឧបករណ៍_គ្រួសារ៖ ខ្សែអក្សរ := “មិនបានប្រើ”; lpm_pipeline: ធម្មជាតិ := 0; width_codeword: ធម្មជាតិ := 8; width_dataword: ធម្មជាតិ := 8; lpm_hint: ខ្សែអក្សរ := “UN_type=”; lpmde; ”); port( aclr: in std_logic := '0'; clock: in std_logic:= '0'; clocken: in std_logic:= '1'; data: in std_logic_vector(width_codeword-1 downto 0); err_corrected: out std_logic; ៖ ចេញ std_logic; q: ចេញ std_logic_vector(width_dataword-1 ចុះក្រោម 0); សមាសធាតុបញ្ចប់;
២.៤. សេចក្តីប្រកាស VHDL LIBRARY_USE
សេចក្តីប្រកាស VHDL LIBRARY-USE មិនត្រូវបានទាមទារទេ ប្រសិនបើអ្នកប្រើសេចក្តីប្រកាសសមាសធាតុ VHDL ។
បណ្ណាល័យ altera_mf; ប្រើ altera_mf.altera_mf_components.all;
៧.៧. ច្រកអ៊ិនកូដឌ័រ
តារាងខាងក្រោមរាយបញ្ជីច្រកបញ្ចូល និងទិន្នផលសម្រាប់ស្នូល IP របស់ ALTECC ។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 33

7. ALTECC (លេខកូដកែកំហុស៖ អ៊ិនកូដ/ឌិកូដ) IP Core 683490 | 2020.10.05

តារាង 22. ច្រកបញ្ចូលអ៊ីនកូដឌ័រ ALTECC

ឈ្មោះច្រក

ទាមទារ

ការពិពណ៌នា

ទិន្នន័យ[]

បាទ

ច្រកបញ្ចូលទិន្នន័យ។ ទំហំច្រកបញ្ចូលអាស្រ័យលើ WIDTH_DATAWORD

តម្លៃប៉ារ៉ាម៉ែត្រ។ ច្រកទិន្នន័យ [] មានទិន្នន័យឆៅដែលត្រូវអ៊ិនកូដ។

នាឡិកា

បាទ

ច្រកបញ្ចូលនាឡិកាដែលផ្តល់សញ្ញានាឡិកាដើម្បីធ្វើសមកាលកម្មប្រតិបត្តិការអ៊ិនកូដ។

ច្រកនាឡិកាត្រូវបានទាមទារនៅពេលដែលតម្លៃ LPM_PIPELINE ធំជាង 0។

នាឡិកា

ទេ

បើកនាឡិកា។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមគឺ 1 ។

aclr

ទេ

ការបញ្ចូលច្បាស់លាស់អសមកាល។ សញ្ញា aclr ខ្ពស់សកម្មអាចត្រូវបានប្រើនៅពេលណាក៏បាន

ជម្រះបញ្ជីដោយអសមកាល។

តារាងទី 23. ច្រកទិន្នផលអ៊ិនកូដឌ័រ ALTECC

ឈ្មោះច្រក q[]

ទាមទារ បាទ

ការពិពណ៌នា
ច្រកទិន្នផលទិន្នន័យដែលបានអ៊ិនកូដ។ ទំហំនៃច្រកលទ្ធផលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ WIDTH_CODEWORD ។

៧.៨. ច្រកឌិកូដ

តារាងខាងក្រោមរាយបញ្ជីច្រកបញ្ចូល និងទិន្នផលសម្រាប់ស្នូល IP របស់ ALTECC ។

តារាង 24. ច្រកបញ្ចូលឌិកូដ ALTECC

ឈ្មោះច្រក

ទាមទារ

ការពិពណ៌នា

ទិន្នន័យ[]

បាទ

ច្រកបញ្ចូលទិន្នន័យ។ ទំហំច្រកបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ WIDTH_CODEWORD ។

នាឡិកា

បាទ

ច្រកបញ្ចូលនាឡិកាដែលផ្តល់សញ្ញានាឡិកាដើម្បីធ្វើសមកាលកម្មប្រតិបត្តិការអ៊ិនកូដ។ ច្រកនាឡិកាត្រូវបានទាមទារនៅពេលដែលតម្លៃ LPM_PIPELINE ធំជាង 0។

នាឡិកា

ទេ

បើកនាឡិកា។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមគឺ 1 ។

aclr

ទេ

ការបញ្ចូលច្បាស់លាស់អសមកាល។ សញ្ញា aclr ខ្ពស់សកម្មអាចត្រូវបានប្រើនៅពេលណាក៏បានដើម្បីលុបការចុះឈ្មោះដោយអសមកាល។

តារាង 25. ច្រកលទ្ធផល ALTECC Decoder

ឈ្មោះច្រក q[]

ទាមទារ បាទ

ការពិពណ៌នា
ច្រកទិន្នផលទិន្នន័យដែលបានឌិកូដ។ ទំហំនៃច្រកលទ្ធផលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ WIDTH_DATAWORD ។

err_detected បាទ

សញ្ញាទង់ដើម្បីឆ្លុះបញ្ចាំងពីស្ថានភាពនៃទិន្នន័យដែលទទួលបាន និងបញ្ជាក់កំហុសណាមួយដែលបានរកឃើញ។

err_correcte បាទ ឃ

សញ្ញាទង់ដើម្បីឆ្លុះបញ្ចាំងពីស្ថានភាពនៃទិន្នន័យដែលទទួលបាន។ បង្ហាញពីកំហុសមួយប៊ីតដែលបានរកឃើញ និងកែ។ អ្នក​អាច​ប្រើ​ទិន្នន័យ​បាន​ព្រោះ​វា​ត្រូវ​បាន​កែ​សម្រួល​រួច​ហើយ។

err_fatal

បាទ

សញ្ញាទង់ដើម្បីឆ្លុះបញ្ចាំងពីស្ថានភាពនៃទិន្នន័យដែលទទួលបាន។ រកឃើញកំហុសទ្វេប៊ីត ប៉ុន្តែមិនត្រូវបានកែដំរូវទេ។ អ្នកមិនត្រូវប្រើទិន្នន័យទេ ប្រសិនបើសញ្ញានេះត្រូវបានអះអាង។

syn_e

ទេ

សញ្ញាទិន្នផលដែលនឹងឡើងខ្ពស់នៅពេលណាដែលកំហុសមួយប៊ីតត្រូវបានរកឃើញនៅលើ parity

ប៊ីត។

៧.៩. ប៉ារ៉ាម៉ែត្រអ៊ិនកូដឌ័រ
តារាងខាងក្រោមរាយបញ្ជីប៉ារ៉ាម៉ែត្រសម្រាប់ស្នូល IP របស់ ALTECC ។

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 34

ផ្ញើមតិកែលម្អ

7. ALTECC (លេខកូដកែកំហុស៖ អ៊ិនកូដ/ឌិកូដ) IP Core 683490 | 2020.10.05

តារាង 26. ប៉ារ៉ាម៉ែត្រអ៊ិនកូដឌ័រ ALTECC

ឈ្មោះប៉ារ៉ាម៉ែត្រ

ប្រភេទ

ទាមទារ

ការពិពណ៌នា

WIDTH_DATAWORD

ចំនួនគត់ បាទ

បញ្ជាក់​ទទឹង​នៃ​ទិន្នន័យ​ដើម។ តម្លៃគឺចាប់ពី 2 ដល់ 64។ ប្រសិនបើលុបចោល តម្លៃលំនាំដើមគឺ 8។

WIDTH_CODEWORD

ចំនួនគត់ បាទ

បញ្ជាក់ទទឹងនៃពាក្យកូដដែលត្រូវគ្នា។ តម្លៃដែលមានសុពលភាពគឺចាប់ពី 6 ដល់ 72 ដោយមិនរាប់បញ្ចូលលេខ 9, 17, 33 និង 65។ ប្រសិនបើលុបចោល តម្លៃលំនាំដើមគឺ 13។

LPM_PIPELINE

ចំនួនគត់

បញ្ជាក់បំពង់សម្រាប់សៀគ្វី។ តម្លៃគឺចាប់ពី 0 ដល់ 2។ ប្រសិនបើតម្លៃគឺ 0 នោះច្រកមិនត្រូវបានចុះឈ្មោះទេ។ ប្រសិនបើតម្លៃគឺ 1 ច្រកលទ្ធផលត្រូវបានចុះឈ្មោះ។ ប្រសិនបើតម្លៃគឺ 2 ច្រកបញ្ចូលនិងទិន្នផលត្រូវបានចុះឈ្មោះ។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមគឺ 0 ។

៧.១០. ប៉ារ៉ាម៉ែត្រឌិកូដ

តារាងខាងក្រោមរាយបញ្ជីប៉ារ៉ាម៉ែត្រស្នូល IP របស់ ALTECC ។

តារាង 27. ប៉ារ៉ាម៉ែត្រឌិកូដ ALTECC

ឈ្មោះប៉ារ៉ាម៉ែត្រ WIDTH_DATAWORD

វាយបញ្ចូលចំនួនគត់

ទាមទារ

ការពិពណ៌នា

បាទ

បញ្ជាក់​ទទឹង​នៃ​ទិន្នន័យ​ដើម។ តម្លៃគឺពី 2 ទៅ 64

តម្លៃលំនាំដើមគឺ 8 ។

WIDTH_CODEWORD

ចំនួនគត់

បាទ

បញ្ជាក់ទទឹងនៃពាក្យកូដដែលត្រូវគ្នា។ តម្លៃគឺ 6

ដល់លេខ 72 ដោយមិនរាប់បញ្ចូលលេខ 9, 17, 33 និង 65។ ប្រសិនបើលុបចោល នោះតម្លៃលំនាំដើម

គឺ 13 ។

LPM_PIPELINE

ចំនួនគត់

ទេ

បញ្ជាក់ការចុះឈ្មោះនៃសៀគ្វី។ តម្លៃគឺពី 0 ទៅ 2. ប្រសិនបើ

តម្លៃគឺ 0 គ្មានការចុះឈ្មោះត្រូវបានអនុវត្តទេ។ ប្រសិនបើតម្លៃគឺ 1

ទិន្នផលត្រូវបានចុះឈ្មោះ។ ប្រសិនបើតម្លៃគឺ 2 ទាំងការបញ្ចូលនិង

ទិន្នផលត្រូវបានចុះឈ្មោះ។ ប្រសិនបើតម្លៃធំជាង 2 បន្ថែម

ការចុះឈ្មោះត្រូវបានអនុវត្តនៅទិន្នផលសម្រាប់ការបន្ថែម

ភាពយឺតយ៉ាវ។ ប្រសិនបើលុបចេញ តម្លៃលំនាំដើមគឺ 0 ។

បង្កើតច្រក 'syn_e'

ចំនួនគត់

ទេ

បើកប៉ារ៉ាម៉ែត្រនេះដើម្បីបង្កើតច្រក syn_e ។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 35

683490 | 2020.10.05 ផ្ញើមតិកែលម្អ

8. Intel FPGA Multiply Adder IP Core

រូបភាពទី 9 ។

Intel FPGA Multiply Adder (Intel Stratix 10, Intel Arria 10, និង Intel Cyclone 10 GX devices) ឬ ALTERA_MULT_ADD (Arria V, Stratix V, and Cyclone V devices) IP core អនុញ្ញាតឱ្យអ្នកអនុវត្ត multiplier-adder ។

រូបខាងក្រោមបង្ហាញពីច្រកសម្រាប់ Intel FPGA Multiply Adder ឬ ALTERA_MULT_ADD IP core។

Intel FPGA Multiply Adder ឬ ALTERA_MULT_ADD Ports

Intel FPGA Multiply Adder ឬ ALTERA_MULT_ADD

dataa[] signa datab[] signb datac[] coefsel0[] coefsel1[] coefsel2[] coefsel3[] addnsub1 addnsub3 aclr/sclr[] scanina[] clock0 clock1 clock2 ena0 ena1 ena2 sload_accum
accum_sload chainin[]

លទ្ធផល scanouta[]

aclr0 aclr1

inst
កម្មវិធីបន្ថែមមេគុណទទួលយកគូនៃធាតុបញ្ចូល គុណតម្លៃរួមគ្នា ហើយបន្ទាប់មកបន្ថែមទៅ ឬដកពីផលិតផលនៃគូផ្សេងទៀតទាំងអស់។
ប្រសិនបើទទឹងទិន្នន័យបញ្ចូលទាំងអស់មានទទឹង 9 ប៊ីត ឬតូចជាងនេះ មុខងារប្រើការកំណត់រចនាសម្ព័ន្ធមេគុណបញ្ចូល 9 x 9 ប៊ីតនៅក្នុងប្លុក DSP សម្រាប់ឧបករណ៍ដែលគាំទ្រការកំណត់រចនាសម្ព័ន្ធ 9 x 9 ។ ប្រសិនបើមិនមានទេ ប្លុក DSP ប្រើមេគុណបញ្ចូល 18 × 18 ប៊ីត ដើម្បីដំណើរការទិន្នន័យដែលមានទទឹងចន្លោះពី 10 ប៊ីត និង 18 ប៊ីត។ ប្រសិនបើ Intel FPGA Multiply Adder ឬ ALTERA_MULT_ADD IP cores កើតឡើងនៅក្នុងការរចនា មុខងារត្រូវបានចែកចាយទៅជា

សាជីវកម្ម Intel ។ រក្សា​រ​សិទ្ធ​គ្រប់យ៉ាង។ Intel, និមិត្តសញ្ញា Intel និងសញ្ញា Intel ផ្សេងទៀតគឺជាពាណិជ្ជសញ្ញារបស់ Intel Corporation ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។ Intel ធានាការអនុវត្តផលិតផល FPGA និង semiconductor របស់ខ្លួនទៅនឹងលក្ខណៈបច្ចេកទេសបច្ចុប្បន្នស្របតាមការធានាស្តង់ដាររបស់ Intel ប៉ុន្តែរក្សាសិទ្ធិក្នុងការផ្លាស់ប្តូរផលិតផល និងសេវាកម្មណាមួយនៅពេលណាមួយដោយមិនមានការជូនដំណឹងជាមុន។ Intel សន្មត់ថាគ្មានទំនួលខុសត្រូវ ឬការទទួលខុសត្រូវដែលកើតចេញពីកម្មវិធី ឬការប្រើប្រាស់ព័ត៌មាន ផលិតផល ឬសេវាកម្មណាមួយដែលបានពិពណ៌នានៅទីនេះ លើកលែងតែមានការយល់ព្រមជាលាយលក្ខណ៍អក្សរដោយ Intel ។ អតិថិជនរបស់ Intel ត្រូវបានណែនាំឱ្យទទួលបានកំណែចុងក្រោយបំផុតនៃការបញ្ជាក់ឧបករណ៍ មុនពេលពឹងផ្អែកលើព័ត៌មានដែលបានបោះពុម្ពផ្សាយណាមួយ និងមុនពេលធ្វើការបញ្ជាទិញផលិតផល ឬសេវាកម្ម។ * ឈ្មោះ និងម៉ាកផ្សេងទៀតអាចត្រូវបានទាមទារជាកម្មសិទ្ធិរបស់អ្នកដទៃ។

ISO 9001:2015 បានចុះឈ្មោះ

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
ប្លុក DSP ផ្សេងគ្នាជាច្រើនតាមដែលអាចធ្វើទៅបាន ដូច្នេះការនាំផ្លូវទៅកាន់ប្លុកទាំងនេះមានភាពបត់បែនជាងមុន។ មេគុណតិចជាងមុនក្នុងមួយប្លុក DSP អនុញ្ញាតឱ្យមានជម្រើសផ្លូវបន្ថែមទៀតចូលទៅក្នុងប្លុក ដោយកាត់បន្ថយផ្លូវទៅកាន់ឧបករណ៍ដែលនៅសល់។
ការចុះឈ្មោះ និងការចុះឈ្មោះបំពង់បន្ថែមសម្រាប់សញ្ញាខាងក្រោមក៏ត្រូវបានដាក់នៅខាងក្នុងប្លុក DSP ផងដែរ៖ · ការបញ្ចូលទិន្នន័យ · ការជ្រើសរើសដែលបានចុះហត្ថលេខា ឬមិនបានចុះហត្ថលេខា · បន្ថែម ឬដកការជ្រើសរើស · ផលិតផលនៃមេគុណ
ក្នុងករណីលទ្ធផលលទ្ធផលការចុះឈ្មោះដំបូងត្រូវបានដាក់ក្នុងប្លុក DSP ។ ទោះយ៉ាងណាក៏ដោយ ការចុះឈ្មោះ latency បន្ថែមត្រូវបានដាក់ក្នុងធាតុតក្កនៅខាងក្រៅប្លុក។ គ្រឿងកុំព្យូទ័រទៅប្លុក DSP រួមទាំងការបញ្ចូលទិន្នន័យទៅកាន់មេគុណ គ្រប់គ្រងការបញ្ចូលសញ្ញា និងលទ្ធផលនៃធាតុបន្ថែម ប្រើការបញ្ជូនបន្តធម្មតាដើម្បីទាក់ទងជាមួយឧបករណ៍ដែលនៅសល់។ ការភ្ជាប់ទាំងអស់នៅក្នុងមុខងារប្រើប្រាស់ការបញ្ជូនបន្តផ្ទាល់នៅខាងក្នុងប្លុក DSP ។ ការកំណត់ផ្លូវជាក់លាក់នេះរួមបញ្ចូលខ្សែសង្វាក់ចុះឈ្មោះការផ្លាស់ប្តូរ នៅពេលអ្នកជ្រើសរើសជម្រើសដើម្បីផ្លាស់ប្តូរទិន្នន័យបញ្ចូលដែលបានចុះឈ្មោះរបស់មេគុណពីមេគុណមួយទៅមេគុណដែលនៅជាប់គ្នា។
សម្រាប់ព័ត៌មានបន្ថែមអំពីប្លុក DSP នៅក្នុងស៊េរីឧបករណ៍ Stratix V និង Arria V សូមមើលជំពូក DSP Blocks នៃសៀវភៅណែនាំរៀងៗខ្លួននៅលើទំព័រអក្សរសិល្ប៍ និងឯកសារបច្ចេកទេស។
ព័ត៌មានពាក់ព័ន្ធ AN 306៖ ការអនុវត្តមេគុណនៅក្នុងឧបករណ៍ FPGA
ផ្តល់ព័ត៌មានបន្ថែមអំពីការអនុវត្តមេគុណដោយប្រើ DSP និងប្លុកអង្គចងចាំនៅក្នុងឧបករណ៍ Intel FPGA ។
8.1. លក្ខណៈពិសេស
Intel FPGA Multiply Adder ឬ ALTERA_MULT_ADD IP core ផ្តល់នូវលក្ខណៈពិសេសដូចខាងក្រោមៈ · បង្កើតមេគុណដើម្បីអនុវត្តប្រតិបត្តិការគុណនៃស្មុគស្មាញពីរ
លេខសម្គាល់៖ នៅពេលបង្កើតមេគុណធំជាងទំហំដែលគាំទ្រពីដើម វាអាច/
នឹងជាផលប៉ះពាល់នៃដំណើរការដែលបណ្តាលមកពីការធ្លាក់នៃប្លុក DSP ។ · គាំទ្រទទឹងទិន្នន័យ 1 256 ប៊ីត · គាំទ្រទម្រង់តំណាងទិន្នន័យដែលបានចុះហត្ថលេខា និងមិនបានចុះហត្ថលេខា · គាំទ្រការដាក់បំពង់ជាមួយនឹងភាពយឺតនៃការបញ្ចូលដែលអាចកំណត់បាន · ផ្តល់ជម្រើសដើម្បីប្តូរថាមវន្តរវាងការគាំទ្រទិន្នន័យដែលបានចុះហត្ថលេខា និងមិនបានចុះហត្ថលេខា · ផ្តល់ជម្រើសដើម្បីប្តូរថាមវន្តរវាងប្រតិបត្តិការបន្ថែម និងដក · គាំទ្រ ជម្រើសអសមកាល និងសមកាលកម្មច្បាស់លាស់ និងនាឡិកាបើកច្រកបញ្ចូល · គាំទ្ររបៀបចុះឈ្មោះពន្យាពេលស៊ីស្តូលិក · គាំទ្រធាតុបន្ថែមមុនជាមួយនឹងមេគុណផ្ទុកមុនចំនួន 8 ក្នុងមួយមេគុណ · គាំទ្របន្ទុកថេរដើម្បីបំពេញបន្ថែមមតិត្រឡប់

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 37

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

៨.១.១. កម្មវិធីបន្ថែមមុន។
ជាមួយនឹងការបន្ថែមមុន ការបូកឬដកត្រូវបានធ្វើមុនពេលផ្តល់មេគុណ។
មានរបៀបបន្ថែមចំនួនប្រាំ៖ · របៀបសាមញ្ញ · របៀបមេគុណ · របៀបបញ្ចូល · របៀបការ៉េ · របៀបថេរ

ចំណាំ៖

នៅពេលប្រើធាតុបន្ថែមមុន (មេគុណ/បញ្ចូល/ទម្រង់ការ៉េ) រាល់ការបញ្ចូលទិន្នន័យទៅមេគុណត្រូវតែមានការកំណត់នាឡិកាដូចគ្នា។

៨.១.១.១. Pre-adder របៀបសាមញ្ញ

នៅក្នុងរបៀបនេះ ប្រតិបត្តិករទាំងពីរបានមកពីច្រកបញ្ចូល ហើយកម្មវិធីបន្ថែមមុនមិនត្រូវបានប្រើ ឬឆ្លងកាត់ទេ។ នេះជារបៀបលំនាំដើម។

រូបភាពទី 10. Pre-adder Simple Mode
a0 b0

ច្រើន0

លទ្ធផល

៨.១.១.២. របៀបមេគុណបន្ថែមជាមុន
នៅក្នុងរបៀបនេះ មេគុណមួយ operand ចេញមកពី pre-adder ហើយ operand ផ្សេងទៀតកើតចេញពីការផ្ទុកមេគុណខាងក្នុង។ ការផ្ទុកមេគុណអនុញ្ញាតឱ្យមានរហូតដល់ 8 ថេរកំណត់ជាមុន។ សញ្ញាជ្រើសរើសមេគុណគឺ coefsel[0..3]។
របៀបនេះត្រូវបានបង្ហាញក្នុងសមីការខាងក្រោម។

ខាងក្រោមបង្ហាញពីរបៀបមេគុណមុននៃមេគុណ។

រូបភាពទី 11. Pre-adder Coefficient Mode

មេអំបៅ

a0

ច្រើន0

+/-

លទ្ធផល

b0

coefsel0 មេគុណ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 38

ផ្ញើមតិកែលម្អ

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
៨.១.១.៣. Pre-adder Input Mode នៅក្នុងរបៀបនេះ មេគុណមួយ operand ចេញមកពី pre-adder ហើយ operand ផ្សេងទៀតកើតចេញពី datac[] input port។ របៀបនេះត្រូវបានបង្ហាញក្នុងសមីការខាងក្រោម។

ខាងក្រោមបង្ហាញរបៀបបញ្ចូលមុនរបស់មេគុណ។

រូបភាពទី 12. របៀបបញ្ចូលធាតុបញ្ចូលជាមុន
a0 b0

ច្រើន0

+/-

លទ្ធផល

c0

៨.១.១.៤. Pre-adder Square Mode របៀបនេះត្រូវបានបង្ហាញក្នុងសមីការខាងក្រោម។

ខាងក្រោមបង្ហាញរបៀបការ៉េបន្ថែមមុននៃមេគុណពីរ។

រូបភាពទី 13. Pre-adder Square Mode
a0 b0

ច្រើន0

+/-

លទ្ធផល

៨.១.១.៥. Pre-adder របៀបថេរ
នៅក្នុងរបៀបនេះ operand មេគុណមួយកើតចេញពីច្រកបញ្ចូល ហើយ operand ផ្សេងទៀតកើតចេញពីការផ្ទុកមេគុណខាងក្នុង។ ការផ្ទុកមេគុណអនុញ្ញាតឱ្យមានរហូតដល់ 8 ថេរកំណត់ជាមុន។ សញ្ញាជ្រើសរើសមេគុណគឺ coefsel[0..3]។
របៀបនេះត្រូវបានបង្ហាញក្នុងសមីការខាងក្រោម។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 39

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

តួរលេខខាងក្រោមបង្ហាញពីទម្រង់ថេរនៃអ្នកបន្ថែមមុននៃមេគុណ។

រូបភាពទី 14. Pre-adder Constant Mode
a0

ច្រើន0

លទ្ធផល

coefsel0
មេ
៨.១.២. ការចុះឈ្មោះពន្យាពេលស៊ីស្តូលិក
នៅក្នុងស្ថាបត្យកម្មស៊ីស្តូលិក ទិន្នន័យបញ្ចូលត្រូវបានបញ្ចូលទៅក្នុងបណ្តុំនៃការចុះឈ្មោះដែលដើរតួជាបណ្តុំទិន្នន័យ។ ការចុះឈ្មោះនីមួយៗផ្តល់នូវការបញ្ចូល sample ទៅមេគុណដែលវាត្រូវបានគុណដោយមេគុណរៀងៗខ្លួន។ ឧបករណ៍បន្ថែមខ្សែសង្វាក់រក្សាទុកលទ្ធផលរួមបញ្ចូលគ្នាបន្តិចម្តងៗពីមេគុណ និងលទ្ធផលដែលបានចុះឈ្មោះពីមុនពីច្រកបញ្ចូលខ្សែសង្វាក់ ដើម្បីបង្កើតលទ្ធផលចុងក្រោយ។ ធាតុបន្ថែមគុណនីមួយៗត្រូវតែពន្យារពេលដោយវដ្ដតែមួយ ដើម្បីឱ្យលទ្ធផលធ្វើសមកាលកម្មសមស្របនៅពេលបូកបញ្ចូលគ្នា។ ការពន្យាពេលជាបន្តបន្ទាប់នីមួយៗត្រូវបានប្រើដើម្បីដោះស្រាយទាំងមេម៉ូរីមេគុណ និងសតិបណ្ដោះអាសន្នទិន្នន័យនៃធាតុបន្ថែមគុណរៀងៗខ្លួន។ សម្រាប់អតីតample ការពន្យាពេលតែមួយសម្រាប់ធាតុបន្ថែមគុណទីពីរ ការពន្យាពេលពីរសម្រាប់ធាតុគុណបន្ថែមទីបី ហើយដូច្នេះនៅលើ។
រូបភាពទី 15. ការចុះឈ្មោះស៊ីស្តូលិក
ការចុះឈ្មោះស៊ីស្តូលិក

x(t) c(0)

ស -១

ស -១

គ(1)

ស -១

ស -១

គ(2)

ស -១

ស -១

c(N-1)

ស -១

ស -១

ស -១

S -1 y(t)

x(t) តំណាងឱ្យលទ្ធផលពីស្ទ្រីមបន្តនៃការបញ្ចូល samples និង y(t)
តំណាងឱ្យការបូកសរុបនៃសំណុំនៃការបញ្ចូល samples, ហើយនៅក្នុងពេលវេលា, គុណនឹងរបស់ពួកគេ។
មេគុណរៀងៗខ្លួន។ ទាំង​លទ្ធផល​បញ្ចូល និង​លទ្ធផល​ហូរ​ពី​ឆ្វេង​ទៅ​ស្តាំ។ c(0) ដល់ c(N-1) បង្ហាញពីមេគុណ។ ការចុះឈ្មោះពន្យាពេលស៊ីស្តូលិកត្រូវបានតំណាងដោយ S-1 ចំណែកឯលេខ 1 តំណាងឱ្យការពន្យារពេលនាឡិកាតែមួយ។ ការចុះឈ្មោះពន្យាពេលស៊ីស្តូលិកត្រូវបានបន្ថែមនៅ
ធាតុចូល និងទិន្នផលសម្រាប់បំពង់បង្ហូរប្រេងតាមរបៀបដែលធានានូវលទ្ធផលដែលបានមកពី
មេគុណ operand និងផលបូកបង្គរនៅតែធ្វើសមកាលកម្ម។ ធាតុដំណើរការនេះ។
ត្រូវបានចម្លងដើម្បីបង្កើតសៀគ្វីដែលគណនាមុខងារតម្រង។ មុខងារនេះគឺ
បង្ហាញក្នុងសមីការខាងក្រោម។

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 40

ផ្ញើមតិកែលម្អ

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

N តំណាងឱ្យចំនួនវដ្តនៃទិន្នន័យដែលបានបញ្ចូលទៅក្នុង accumulator y(t) តំណាងឱ្យទិន្នផលនៅពេល t, A(t) តំណាងឱ្យការបញ្ចូលនៅពេល t ហើយ B(i) គឺជាមេគុណ។ t និង i ក្នុងសមីការត្រូវគ្នាទៅនឹងពេលវេលាជាក់លាក់ណាមួយ ដូច្នេះដើម្បីគណនាលទ្ធផល sample y(t) at time t ក្រុមនៃការបញ្ចូល samples នៅ N ចំណុចផ្សេងគ្នានៅក្នុងពេលវេលា ឬ A(n), A(n-1), A(n-2), … A(n-N+1) ត្រូវបានទាមទារ។ ក្រុមនៃ N បញ្ចូល samples ត្រូវបានគុណនឹងមេគុណ N ហើយបូកបញ្ចូលគ្នាដើម្បីបង្កើតជាលទ្ធផលចុងក្រោយ y ។
ស្ថាបត្យកម្មចុះឈ្មោះស៊ីស្តូលិកអាចប្រើបានសម្រាប់តែរបៀបបូកនៃ 2 និងផលបូកនៃ 4 ប៉ុណ្ណោះ។ សម្រាប់របៀបស្ថាបត្យកម្មចុះឈ្មោះស៊ីស្តូលិកទាំងពីរ សញ្ញាខ្សែសង្វាក់ដំបូងចាំបាច់ត្រូវចងភ្ជាប់ជាមួយ 0 ។
តួលេខខាងក្រោមបង្ហាញពីការអនុវត្តការចុះឈ្មោះពន្យាពេលស៊ីស្តូលិកនៃ 2 មេគុណ។
រូបភាពទី 16. ការពន្យាពេលស៊ីស្តូលិក ការអនុវត្ត 2 មេគុណ
ខ្សែសង្វាក់

a0

ច្រើន0

+/-

b0

a1

ច្រើន1

+/-

b1

លទ្ធផល
ផលបូកនៃមេគុណពីរត្រូវបានបង្ហាញក្នុងសមីការខាងក្រោម។
តួលេខខាងក្រោមបង្ហាញពីការអនុវត្តការចុះឈ្មោះពន្យាពេលស៊ីស្តូលិកនៃ 4 មេគុណ។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 41

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

រូបភាពទី 17. ការពន្យាពេលស៊ីស្តូលិក ការអនុវត្ត 4 មេគុណ
ខ្សែសង្វាក់

a0

ច្រើន0

+/-

b0

a1

ច្រើន1

+/-

b1

a2

ច្រើន2

+/-

b2

a3

ច្រើន3

+/-

b3

លទ្ធផល
ផលបូកនៃមេគុណចំនួនបួនត្រូវបានបង្ហាញក្នុងសមីការខាងក្រោម។ រូបភាពទី 18. ផលបូកនៃ 4 មេគុណ
ខាងក្រោម​នេះ​ជា​បញ្ជី​ឈ្មោះ Advantages នៃការអនុវត្តការចុះឈ្មោះស៊ីស្តូលិក៖ · កាត់បន្ថយការប្រើប្រាស់ធនធាន DSP · បើកដំណើរការផែនទីប្រកបដោយប្រសិទ្ធភាពនៅក្នុងប្លុក DSP ដោយប្រើរចនាសម្ព័ន្ធបន្ថែមខ្សែសង្វាក់

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 42

ផ្ញើមតិកែលម្អ

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

៨.១.៣. ផ្ទុកថេរជាមុន
ថេរផ្ទុកជាមុនគ្រប់គ្រង accumulator operand និងបំពេញបន្ថែម accumulator feedback ។ ជួរ LOADCONST_VALUE ត្រឹមត្រូវចាប់ពី 0។ តម្លៃថេរគឺស្មើនឹង 64N ដែល N = LOADCONST_VALUE។ នៅពេលដែល LOADCONST_VALUE ត្រូវបានកំណត់ទៅ 2 តម្លៃថេរគឺស្មើនឹង 64។ មុខងារនេះអាចត្រូវបានប្រើជាការបង្គត់ដោយលំអៀង។
តួលេខខាងក្រោមបង្ហាញពីការអនុវត្តថេរនៃការផ្ទុកជាមុន។
រូបភាពទី 19. Pre-load Constant

មតិប្រតិកម្មរបស់ Accumulator

ថេរ

a0

ច្រើន0

+/-

b0

a1

ច្រើន1

+/b1

លទ្ធផល

accum_sload sload_accum

យោងទៅស្នូល IP ខាងក្រោមសម្រាប់ការអនុវត្តមេគុណផ្សេងទៀត៖ · ALTMULT_ACCUM · ALTMEMMULT · LPM_MULT
៨.១.៤. ឧបករណ៍ផ្ទុកទ្វេដង
លក្ខណៈពិសេស accumulator ទ្វេបន្ថែមការចុះឈ្មោះបន្ថែមនៅក្នុងផ្លូវ accumulator មតិត្រឡប់។ ការចុះឈ្មោះ accumulator ពីរដងធ្វើតាមការចុះឈ្មោះលទ្ធផល ដែលរួមមាននាឡិកា នាឡិកាបើក និង aclr ។ ការចុះឈ្មោះបន្ថែម accumulator ត្រឡប់លទ្ធផលជាមួយនឹងការពន្យាពេលមួយវដ្ត។ លក្ខណៈពិសេសនេះអនុញ្ញាតឱ្យអ្នកមានបណ្តាញប្រមូលផ្តុំពីរដែលមានចំនួនធនធានដូចគ្នា។
តួលេខខាងក្រោមបង្ហាញពីការអនុវត្ត accumulator ទ្វេ។

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 43

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

រូបភាពទី 20. ឧបករណ៍ផ្ទុកទ្វេដង

Dou ble Accu mulator ចុះឈ្មោះ

Accu mulator feedba ck

a0

ច្រើន0

+/-

b0

a1

ច្រើន1

+/b1

ការចុះឈ្មោះលទ្ធផលលទ្ធផល

២.២. គំរូ Verilog HDL
អ្នកអាចរកឃើញ Intel FPGA Multiply Adder ឬ ALTERA_MULT_ADD Verilog HDL គំរូដើម file (altera_mult_add_rtl.v) នៅក្នុង សៀវភៅបញ្ជីមុខងារមេហ្គា។
២.៣. សេចក្តីប្រកាសសមាសធាតុ VHDL
សេចក្តីប្រកាសសមាសភាគ VHDL មានទីតាំងនៅ altera_lnsim_components.vhd ក្នុង librariesvhdl altera_lnsim ថត។
២.៤. សេចក្តីប្រកាស VHDL LIBRARY_USE
សេចក្តីប្រកាស VHDL LIBRARY-USE មិនត្រូវបានទាមទារទេ ប្រសិនបើអ្នកប្រើសេចក្តីប្រកាសសមាសធាតុ VHDL ។
បណ្ណាល័យ altera_mf; ប្រើ altera_mf.altera_mf_components.all;

៤.៥. សញ្ញា

តារាងខាងក្រោមរាយបញ្ជីសញ្ញាបញ្ចូល និងទិន្នផលនៃ Multiply Adder Intel FPGA IPor ALTERA_MULT_ADD IP core។

តារាង 28. Multiply Adder Intel FPGA IPor ALTERA_MULT_ADD សញ្ញាបញ្ចូល

សញ្ញា

ទាមទារ

ការពិពណ៌នា

dataa_0[]/dataa_1[]/

បាទ

dataa_2[]/dataa_3[]

ការបញ្ចូលទិន្នន័យទៅមេគុណ។ ច្រកបញ្ចូល [NUMBER_OF_MULTIPLIERS * WIDTH_A – 1 … 0] ធំទូលាយ
បន្ត…

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 44

ផ្ញើមតិកែលម្អ

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

សញ្ញា datab_0[]/datab_1[]/ datab_2[]/datab_3[] datac_0[] /datac_1[]/ datac_2[]/datac_3[] clock[1:0] aclr[1:0] sclr[1:0] ena [1:0] សញ្ញា
សញ្ញា
scanina[] accum_sload

ទាមទារ បាទ ទេ
អត់ទេ អត់ទេ
ទេ
គ្មានទេ

ការពិពណ៌នា
គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញាទាំងនេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ដល់សញ្ញាទាំងនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
ការបញ្ចូលទិន្នន័យទៅមេគុណ។ សញ្ញាបញ្ចូល [NUMBER_OF_MULTIPLIERS * WIDTH_B – 1 … 0] ធំទូលាយ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនបានបញ្ជាក់ (X) ចំពោះសញ្ញាទាំងនេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ដល់សញ្ញាទាំងនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
ការបញ្ចូលទិន្នន័យទៅមេគុណ។ សញ្ញាបញ្ចូល [NUMBER_OF_MULTIPLIERS * WIDTH_C – 1, … 0] ធំទូលាយ ជ្រើសរើស INPUT សម្រាប់ជ្រើសរើសប៉ារ៉ាម៉ែត្រមុខងារ preadder ដើម្បីបើកសញ្ញាទាំងនេះ។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញាទាំងនេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ដល់សញ្ញាទាំងនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
ច្រកបញ្ចូលនាឡិកាទៅកាន់ការចុះឈ្មោះដែលត្រូវគ្នា។ សញ្ញានេះអាចត្រូវបានប្រើដោយការចុះឈ្មោះណាមួយនៅក្នុងស្នូល IP ។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញាទាំងនេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ដល់សញ្ញាទាំងនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
ការបញ្ចូលច្បាស់លាស់អសមកាលទៅនឹងការចុះឈ្មោះដែលត្រូវគ្នា។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញាទាំងនេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ដល់សញ្ញាទាំងនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
ការបញ្ចូលច្បាស់លាស់សមកាលកម្មទៅនឹងការចុះឈ្មោះដែលត្រូវគ្នា។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូល X ដែលមិនបានកំណត់ចំពោះសញ្ញាទាំងនេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ដល់សញ្ញាទាំងនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល
បើកការបញ្ចូលសញ្ញាទៅការចុះឈ្មោះដែលត្រូវគ្នា។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញាទាំងនេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ដល់សញ្ញាទាំងនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
បញ្ជាក់ការតំណាងជាលេខនៃការបញ្ចូលមេគុណ A. ប្រសិនបើសញ្ញាសញ្ញាខ្ពស់ មេគុណចាត់ទុកការបញ្ចូលមេគុណ A ជាលេខដែលបានចុះហត្ថលេខា។ ប្រសិនបើសញ្ញាសញ្ញាមានកម្រិតទាប មេគុណចាត់ទុកការបញ្ចូលមេគុណសញ្ញា A ជាលេខដែលមិនបានចុះហត្ថលេខា។ ជ្រើសរើស VARIABLE សម្រាប់អ្វីជាទម្រង់តំណាងសម្រាប់ប៉ារ៉ាម៉ែត្របញ្ចូលពហុគុណ A ដើម្បីបើកសញ្ញានេះ។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញានេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ទៅធាតុបញ្ចូលនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
បញ្ជាក់តំណាងជាលេខនៃសញ្ញាបញ្ចូលមេគុណ B ។ ប្រសិនបើសញ្ញាសញ្ញា B ខ្ពស់ មេគុណនឹងចាត់ទុកការបញ្ចូលមេគុណសញ្ញា B ជាលេខបំពេញបន្ថែមរបស់សញ្ញាពីរ។ ប្រសិនបើសញ្ញាសញ្ញា B មានកម្រិតទាប មេគុណចាត់ទុកការបញ្ចូលមេគុណសញ្ញា B ជាលេខដែលមិនបានចុះហត្ថលេខា។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញានេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ទៅធាតុបញ្ចូលនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
ធាតុបញ្ចូលសម្រាប់ខ្សែសង្វាក់ស្កេន A. សញ្ញាបញ្ចូល [WIDTH_A – 1, … 0] ធំទូលាយ។ នៅពេលដែលប៉ារ៉ាម៉ែត្រ INPUT_SOURCE_A មានតម្លៃនៃ SCANA សញ្ញា scanina[] ត្រូវបានទាមទារ។
ថាមវន្តបញ្ជាក់ថាតើតម្លៃ accumulator គឺថេរ។ ប្រសិនបើសញ្ញា accum_sload មានកម្រិតទាប នោះលទ្ធផលមេគុណត្រូវបានផ្ទុកទៅក្នុង accumulator ។ កុំប្រើ accum_sload និង sload_accum ក្នុងពេលដំណាលគ្នា។
បន្ត…

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 45

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

សញ្ញា sload_accum
chainin[] addnsub1
addnsub3
coefsel0[] coefsel1[] coefsel2[] coefsel3[]

ទាមទារលេខ
គ្មានទេ
ទេ
ទេ ទេ ទេ ទេ។

ការពិពណ៌នា
គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញានេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ទៅធាតុបញ្ចូលនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
ថាមវន្តបញ្ជាក់ថាតើតម្លៃ accumulator គឺថេរ។ ប្រសិនបើសញ្ញា sload_accum ខ្ពស់ នោះលទ្ធផលមេគុណត្រូវបានផ្ទុកទៅក្នុង accumulator ។ កុំប្រើ accum_sload និង sload_accum ក្នុងពេលដំណាលគ្នា។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញានេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ទៅធាតុបញ្ចូលនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
Adder លទ្ធផលបញ្ចូល bus ពី s មុន។tagអ៊ី សញ្ញាបញ្ចូល [WIDTH_CHAININ – 1, … 0] ធំទូលាយ។
អនុវត្តការបូកឬដកទៅនឹងលទ្ធផលពីគូទីមួយនៃមេគុណ។ បញ្ចូល 1 ទៅ addnsub1 signal ដើម្បីបន្ថែមលទ្ធផលពីមេគុណគូទីមួយ។ បញ្ចូល 0 ទៅ addnsub1 signal ដើម្បីដកលទ្ធផលចេញពីមេគុណគូទីមួយ។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញានេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ទៅធាតុបញ្ចូលនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
អនុវត្តការបូកឬដកទៅនឹងលទ្ធផលពីគូទីមួយនៃមេគុណ។ បញ្ចូល 1 ទៅ addnsub3 signal ដើម្បីបន្ថែមលទ្ធផលពីមេគុណគូទីពីរ។ បញ្ចូល 0 ទៅ addnsub3 signal ដើម្បីដកលទ្ធផលចេញពីមេគុណគូទីមួយ។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញានេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ទៅធាតុបញ្ចូលនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
សញ្ញាបញ្ចូលមេគុណ[0:3] ទៅមេគុណទីមួយ។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញានេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ទៅធាតុបញ្ចូលនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
មេគុណបញ្ចូលសញ្ញា[0:3]ទៅមេគុណទីពីរ។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញានេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ទៅធាតុបញ្ចូលនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
សញ្ញាបញ្ចូលមេគុណ[0:3]ទៅមេគុណទីបី។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញានេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ទៅធាតុបញ្ចូលនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។
មេគុណបញ្ចូលសញ្ញា [0:3] ទៅមេគុណទីបួន។ គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃបញ្ចូលដែលមិនកំណត់ (X) ទៅនឹងសញ្ញានេះ។ នៅពេលអ្នកផ្តល់តម្លៃ X ទៅធាតុបញ្ចូលនេះ តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញាទិន្នផល។

តារាង 29. Multiply Adder Intel FPGA IP Output Signals

សញ្ញា

ទាមទារ

ការពិពណ៌នា

លទ្ធផល []

បាទ

សញ្ញាទិន្នផលមេគុណ។ សញ្ញាទិន្នផល [WIDTH_RESULT – 1… 0] ធំទូលាយ

គំរូក្លែងធ្វើសម្រាប់ IP នេះគាំទ្រតម្លៃលទ្ធផលដែលមិនបានបញ្ជាក់ (X)។ នៅពេលអ្នកផ្តល់តម្លៃ X ជាការបញ្ចូល តម្លៃ X ត្រូវបានផ្សព្វផ្សាយនៅលើសញ្ញានេះ។

scanouta []

ទេ

លទ្ធផលនៃខ្សែសង្វាក់ស្កេន A. សញ្ញាទិន្នផល [WIDTH_A – 1..0] ធំទូលាយ។

ជ្រើសរើសច្រើនជាង 2 សម្រាប់លេខនៃមេគុណ ហើយជ្រើសរើស ស្កេនខ្សែសង្វាក់បញ្ចូលសម្រាប់អ្វីជាការបញ្ចូល A នៃមេគុណដែលភ្ជាប់ទៅប៉ារ៉ាម៉ែត្រដើម្បីបើកសញ្ញានេះ។

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 46

ផ្ញើមតិកែលម្អ

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

8.6. ប៉ារ៉ាម៉ែត្រ

៤.៦.១. ផ្ទាំងទូទៅ

តារាង 30. ផ្ទាំងទូទៅ

ប៉ារ៉ាម៉ែត្រ

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

តើចំនួនមេគុណជាអ្វី?

number_of_m 1 – 4 ultipliers

តើឡានក្រុងបញ្ចូល A width_a គួរតែមានទំហំប៉ុនណា?

1 - 256

តើឡានក្រុងបញ្ចូល B width_b គួរតែមានទំហំប៉ុនណា?

1 - 256

តើរថយន្តក្រុងលទ្ធផល 'លទ្ធផល' គួរមានទំហំប៉ុនណា?

width_លទ្ធផល

1 - 256

បង្កើតនាឡិកាដែលពាក់ព័ន្ធ បើកដំណើរការសម្រាប់នាឡិកានីមួយៗ

gui_associate On d_clock_enabl បិទ e

៨.៦.២. ផ្ទាំងរបៀបបន្ថែម

តារាងទី 31. ផ្ទាំងរបៀបបន្ថែម

ប៉ារ៉ាម៉ែត្រ

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

ការកំណត់រចនាសម្ព័ន្ធលទ្ធផល

ចុះឈ្មោះលទ្ធផលនៃអង្គភាពបន្ថែម

gui_output_re បើក

gister

បិទ

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលនាឡិកា?

gui_output_re gister_clock

នាឡិកា ០ នាឡិកា ១ នាឡិកា ២

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

gui_output_re gister_aclr

គ្មាន ACLR0 ACLR1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម?

gui_output_re gister_sclr

គ្មាន SCLR0 SCLR1

ប្រតិបត្តិការបន្ថែម

តើប្រតិបត្តិការអ្វីដែលគួរត្រូវបានអនុវត្តលើលទ្ធផលនៃមេគុណគូទីមួយ?

gui_multiplier 1_direction

បន្ថែម, SUB, អាចផ្លាស់ប្តូរបាន។

តម្លៃលំនាំដើម 1
16

ការពិពណ៌នា
ចំនួនមេគុណដែលត្រូវបូកបញ្ចូលគ្នា។ តម្លៃគឺ 1 ដល់ 4។ បញ្ជាក់ទទឹងនៃច្រក dataa[]។

16

បញ្ជាក់ទទឹងនៃច្រក datab[] ។

32

បញ្ជាក់ទទឹងនៃច្រកលទ្ធផល[]។

បិទ

ជ្រើសរើសជម្រើសនេះដើម្បីបង្កើតការបើកនាឡិកា

សម្រាប់នាឡិកានីមួយៗ។

តម្លៃលំនាំដើម

ការពិពណ៌នា

នាឡិកាបិទ0
គ្មាន គ្មាន

ជ្រើសរើសជម្រើសនេះដើម្បីបើកការចុះឈ្មោះលទ្ធផលនៃម៉ូឌុលបន្ថែម។
ជ្រើសរើស Clock0, Clock1 ឬ Clock2 ដើម្បីបើក និងបញ្ជាក់ប្រភពនាឡិកាសម្រាប់ការចុះឈ្មោះលទ្ធផល។ អ្នក​ត្រូវ​តែ​ជ្រើស​ចុះ​បញ្ជី​លទ្ធផល​នៃ​ឯកតា​បន្ថែម​ដើម្បី​បើក​ប៉ារ៉ាម៉ែត្រ​នេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់អសមកាលសម្រាប់ការចុះឈ្មោះលទ្ធផលកម្មវិធីបន្ថែម។ អ្នក​ត្រូវ​តែ​ជ្រើស​ចុះ​បញ្ជី​លទ្ធផល​នៃ​ឯកតា​បន្ថែម​ដើម្បី​បើក​ប៉ារ៉ាម៉ែត្រ​នេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់សមកាលកម្មសម្រាប់ការចុះឈ្មោះលទ្ធផលកម្មវិធីបន្ថែម។ អ្នក​ត្រូវ​តែ​ជ្រើស​ចុះ​បញ្ជី​លទ្ធផល​នៃ​ឯកតា​បន្ថែម​ដើម្បី​បើក​ប៉ារ៉ាម៉ែត្រ​នេះ។

បន្ថែម

ជ្រើសរើសប្រតិបត្តិការបូក ឬដក ដើម្បីអនុវត្តសម្រាប់លទ្ធផលរវាងមេគុណទីមួយ និងទីពីរ។
· ជ្រើសរើស ADD ដើម្បីអនុវត្តប្រតិបត្តិការបន្ថែម។
· ជ្រើសរើស SUB ដើម្បីអនុវត្តប្រតិបត្តិការដក។
· ជ្រើសរើស VARIABLE ដើម្បីប្រើច្រក addnsub1 សម្រាប់ការត្រួតពិនិត្យការបន្ថែម/ដកថាមវន្ត។
បន្ត…

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 47

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

ប៉ារ៉ាម៉ែត្រ

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

ចុះឈ្មោះបញ្ចូល 'addnsub1'

gui_addnsub_ នៅលើ multiplier_reg បិទ ister1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលនាឡិកា?

gui_addnsub_ multiplier_reg ister1_clock

នាឡិកា ០ នាឡិកា ១ នាឡិកា ២

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

gui_addnsub_ មេគុណ_aclr ១

គ្មាន ACLR0 ACLR1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម?

gui_addnsub_ មេគុណ_sclr ១

គ្មាន SCLR0 SCLR1

តើប្រតិបត្តិការអ្វីដែលគួរត្រូវបានអនុវត្តលើលទ្ធផលនៃមេគុណគូទីពីរ?

gui_multiplier 3_direction

បន្ថែម, SUB, អាចផ្លាស់ប្តូរបាន។

ចុះឈ្មោះបញ្ចូល 'addnsub3'

gui_addnsub_ នៅលើ multiplier_reg បិទ ister3

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលនាឡិកា?

gui_addnsub_ multiplier_reg ister3_clock

នាឡិកា ០ នាឡិកា ១ នាឡិកា ២

តម្លៃលំនាំដើម
បិទនាឡិកា0 គ្មាន គ្មានបន្ថែម
នាឡិកាបិទ0

ការពិពណ៌នា
នៅពេលតម្លៃ VARIABLE ត្រូវបានជ្រើសរើស៖ · ជំរុញសញ្ញា addnsub1 ទៅកម្រិតខ្ពស់សម្រាប់
ប្រតិបត្តិការបន្ថែម។ ·ជំរុញសញ្ញា addnsub1 ទៅកម្រិតទាបសម្រាប់
ប្រតិបត្តិការដក។ អ្នកត្រូវតែជ្រើសរើសមេគុណច្រើនជាងពីរ ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
ជ្រើសរើសជម្រើសនេះដើម្បីបើកការចុះឈ្មោះបញ្ចូលសម្រាប់ច្រក addnsub1 ។ អ្នកត្រូវតែជ្រើសរើស VARIABLE សម្រាប់ប្រតិបត្តិការអ្វីដែលគួរត្រូវបានអនុវត្តលើលទ្ធផលនៃមេគុណគូទីមួយ ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
ជ្រើសរើស Clock0 , Clock1 ឬ Clock2 ដើម្បីបញ្ជាក់សញ្ញានាឡិកាបញ្ចូលសម្រាប់ការចុះឈ្មោះ addnsub1 ។ អ្នកត្រូវតែជ្រើសរើសការបញ្ចូល 'addnsub1' ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់អសមកាលសម្រាប់ការចុះឈ្មោះ addnsub1 ។ អ្នកត្រូវតែជ្រើសរើសការបញ្ចូល 'addnsub1' ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់សមកាលកម្មសម្រាប់ការចុះឈ្មោះ addnsub1 ។ អ្នកត្រូវតែជ្រើសរើសការបញ្ចូល 'addnsub1' ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
ជ្រើសរើសប្រតិបត្តិការបូក ឬដក ដើម្បីអនុវត្តសម្រាប់លទ្ធផលរវាងមេគុណទីបី និងទីបួន។ · ជ្រើសរើស ADD ដើម្បីធ្វើការបន្ថែម
ប្រតិបត្តិការ។ · ជ្រើសរើស SUB ដើម្បីធ្វើការដក
ប្រតិបត្តិការ។ · ជ្រើសរើស VARIABLE ដើម្បីប្រើ addnsub1
ច្រកសម្រាប់ការត្រួតពិនិត្យការបូក/ដកថាមវន្ត។ នៅពេលតម្លៃ VARIABLE ត្រូវបានជ្រើសរើស៖ · ជំរុញសញ្ញា addnsub1 ទៅកម្រិតខ្ពស់សម្រាប់ប្រតិបត្តិការបន្ថែម។ · ជំរុញសញ្ញា addnsub1 ទៅកម្រិតទាបសម្រាប់ប្រតិបត្តិការដក។ អ្នកត្រូវតែជ្រើសរើសតម្លៃ 4 សម្រាប់តើចំនួនមេគុណជាអ្វី? ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
ជ្រើសរើសជម្រើសនេះដើម្បីបើកការចុះឈ្មោះបញ្ចូលសម្រាប់សញ្ញា addnsub3 ។ អ្នកត្រូវតែជ្រើសរើស VARIABLE សម្រាប់ប្រតិបត្តិការអ្វីដែលគួរត្រូវបានអនុវត្តលើលទ្ធផលនៃមេគុណគូទីពីរ ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
ជ្រើសរើស Clock0 , Clock1 ឬ Clock2 ដើម្បីបញ្ជាក់សញ្ញានាឡិកាបញ្ចូលសម្រាប់ការចុះឈ្មោះ addnsub3 ។ អ្នកត្រូវតែជ្រើសរើសការបញ្ចូល 'addnsub3' ចុះឈ្មោះ ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បន្ត…

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 48

ផ្ញើមតិកែលម្អ

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

ប៉ារ៉ាម៉ែត្រ
តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

gui_addnsub_ មេគុណ_aclr ១

គ្មាន ACLR0 ACLR1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម?

gui_addnsub_ មេគុណ_sclr ១

គ្មាន SCLR0 SCLR1

Polarity បើកដំណើរការ 'use_subadd'

gui_use_subn បើក

បន្ថែម

បិទ

៨.៦.៣. ផ្ទាំងមេគុណ

តារាងទី 32. ផ្ទាំងមេគុណ

ប៉ារ៉ាម៉ែត្រ

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

តើអ្វីជា

gui_តំណាង

ទម្រង់តំណាង ation_a

សម្រាប់ការបញ្ចូលពហុគុណ A?

បានចុះហត្ថលេខា, មិនចុះហត្ថលេខា, អាចផ្លាស់ប្តូរបាន។

ចុះឈ្មោះ 'សញ្ញា' បញ្ចូល

gui_register_s បើក

អ៊ីងណា

បិទ

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលនាឡិកា?

gui_register_s igna_clock

នាឡិកា ០ នាឡិកា ១ នាឡិកា ២

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

gui_register_s igna_aclr

គ្មាន ACLR0 ACLR1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម?

gui_register_s igna_sclr

គ្មាន SCLR0 SCLR1

តើអ្វីជា

gui_តំណាង

ទម្រង់តំណាង ation_b

សម្រាប់ការបញ្ចូលពហុគុណ B?

បានចុះហត្ថលេខា, មិនចុះហត្ថលេខា, អាចផ្លាស់ប្តូរបាន។

ចុះឈ្មោះ 'signb' បញ្ចូល

gui_register_s បើក

ignb

បិទ

តម្លៃលំនាំដើម NONE
គ្មាន

ការពិពណ៌នា
បញ្ជាក់ប្រភពច្បាស់លាស់អសមកាលសម្រាប់ការចុះឈ្មោះ addnsub3 ។ អ្នកត្រូវតែជ្រើសរើសការបញ្ចូល 'addnsub3' ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់សមកាលកម្មសម្រាប់ការចុះឈ្មោះ addnsub3 ។ អ្នកត្រូវតែជ្រើសរើសការបញ្ចូល 'addnsub3' ចុះឈ្មោះ ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។

បិទ

ជ្រើសរើសជម្រើសនេះដើម្បីបញ្ច្រាសមុខងារ

នៃច្រកបញ្ចូល addnsub ។

ជំរុញ addnsub ទៅកម្រិតខ្ពស់សម្រាប់ប្រតិបត្តិការដក។

ជំរុញ addnsub ទៅទាបសម្រាប់ប្រតិបត្តិការបន្ថែម។

តម្លៃលំនាំដើម

ការពិពណ៌នា

មិនបានចុះហត្ថលេខា បញ្ជាក់ទម្រង់តំណាងសម្រាប់ការបញ្ចូលមេគុណ A។

បិទ

ជ្រើសរើសជម្រើសនេះដើម្បីបើកសញ្ញា

ចុះឈ្មោះ។

អ្នក​ត្រូវ​តែ​ជ្រើសរើស​តម្លៃ​ប្រែប្រួល​សម្រាប់​អ្វី​ជា​ទម្រង់​តំណាង​សម្រាប់​ការ​បញ្ចូល​មេគុណ A? ប៉ារ៉ាម៉ែត្រដើម្បីបើកជម្រើសនេះ។

នាឡិកា0

ជ្រើសរើស Clock0 , Clock1 ឬ Clock2 ដើម្បីបើក និងបញ្ជាក់សញ្ញានាឡិកាបញ្ចូលសម្រាប់ការចុះឈ្មោះសញ្ញា។
អ្នកត្រូវតែជ្រើសរើសការបញ្ចូល 'សញ្ញា' ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។

គ្មាន

បញ្ជាក់ប្រភពច្បាស់លាស់អសមកាលសម្រាប់ការចុះឈ្មោះសញ្ញា។
អ្នកត្រូវតែជ្រើសរើសការបញ្ចូល 'សញ្ញា' ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។

គ្មាន

បញ្ជាក់ប្រភពច្បាស់លាស់សមកាលកម្មសម្រាប់ការចុះឈ្មោះសញ្ញា។
អ្នកត្រូវតែជ្រើសរើសការបញ្ចូល 'សញ្ញា' ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។

មិនបានចុះហត្ថលេខា បញ្ជាក់ទម្រង់តំណាងសម្រាប់ការបញ្ចូលមេគុណ B ។

បិទ

ជ្រើសរើសជម្រើសនេះដើម្បីបើកសញ្ញា

ចុះឈ្មោះ។

បន្ត…

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 49

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

ប៉ារ៉ាម៉ែត្រ

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

តម្លៃលំនាំដើម

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលនាឡិកា?

gui_register_s ignb_clock

នាឡិកា ០ នាឡិកា ១ នាឡិកា ២

នាឡិកា0

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

gui_register_s ignb_aclr

គ្មាន ACLR0 ACLR1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម?

gui_register_s ignb_sclr

គ្មាន SCLR0 SCLR1

ការកំណត់រចនាសម្ព័ន្ធបញ្ចូល
ចុះឈ្មោះបញ្ចូល A នៃមេគុណ
តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលនាឡិកា?

gui_input_reg បើក

ister_a

បិទ

gui_input_reg ister_a_clock

នាឡិកា ០ នាឡិកា ១ នាឡិកា ២

គ្មាន គ្មាន
នាឡិកាបិទ0

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

gui_input_reg ister_a_aclr

គ្មាន ACLR0 ACLR1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម?

gui_input_reg ister_a_sclr

គ្មាន SCLR0 SCLR1

ចុះឈ្មោះបញ្ចូល B នៃមេគុណ
តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលនាឡិកា?

gui_input_reg បើក

ister_b

បិទ

gui_input_reg ister_b_clock

នាឡិកា ០ នាឡិកា ១ នាឡិកា ២

គ្មាន គ្មាន បិទ នាឡិកា ០

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

gui_input_reg ister_b_aclr

គ្មាន ACLR0 ACLR1

គ្មាន

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម?

gui_input_reg ister_b_sclr

គ្មាន SCLR0 SCLR1

គ្មាន

តើការបញ្ចូល A នៃមេគុណភ្ជាប់ជាមួយអ្វី?

gui_multiplier មេគុណបញ្ចូលមេគុណ

_a_បញ្ចូល

ស្កេន​ការ​បញ្ចូល​ខ្សែសង្វាក់

ការពិពណ៌នា
អ្នក​ត្រូវ​តែ​ជ្រើសរើស​តម្លៃ VARIABLE សម្រាប់​អ្វី​ជា​ទម្រង់​តំណាង​សម្រាប់​ការ​បញ្ចូល​មេគុណ B? ប៉ារ៉ាម៉ែត្រដើម្បីបើកជម្រើសនេះ។
ជ្រើសរើស Clock0, Clock1 ឬ Clock2 ដើម្បីបើក និងបញ្ជាក់សញ្ញានាឡិកាបញ្ចូលសម្រាប់ការចុះឈ្មោះ signb ។ អ្នកត្រូវតែជ្រើសរើសការបញ្ចូល 'signb' ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់អសមកាលសម្រាប់ការចុះឈ្មោះ signb ។ អ្នកត្រូវតែជ្រើសរើសការបញ្ចូល 'signb' ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់សមកាលកម្មសម្រាប់ការចុះឈ្មោះ signb ។ អ្នកត្រូវតែជ្រើសរើសការបញ្ចូល 'signb' ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
ជ្រើសរើសជម្រើសនេះដើម្បីបើកការចុះឈ្មោះបញ្ចូលសម្រាប់ឡានក្រុងបញ្ចូលទិន្នន័យ។
ជ្រើសរើស Clock0, Clock1 ឬ Clock2 ដើម្បីបើក និងបញ្ជាក់សញ្ញានាឡិកាបញ្ចូលចុះឈ្មោះសម្រាប់ dataa input bus។ អ្នកត្រូវតែជ្រើសរើស ចុះឈ្មោះបញ្ចូល A នៃមេគុណ ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់នៃការចុះឈ្មោះអសមកាលសម្រាប់រថយន្តក្រុងបញ្ចូលទិន្នន័យ។ អ្នកត្រូវតែជ្រើសរើស ចុះឈ្មោះបញ្ចូល A នៃមេគុណ ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់សមកាលកម្មចុះឈ្មោះសម្រាប់ឡានក្រុងបញ្ចូលទិន្នន័យ។ អ្នកត្រូវតែជ្រើសរើស ចុះឈ្មោះបញ្ចូល A នៃមេគុណ ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
ជ្រើសរើសជម្រើសនេះដើម្បីបើកការចុះឈ្មោះបញ្ចូលសម្រាប់ឡានក្រុងបញ្ចូលទិន្នន័យ។
ជ្រើសរើស Clock0, Clock1 ឬ Clock2 ដើម្បីបើក និងបញ្ជាក់សញ្ញានាឡិកាបញ្ចូលចុះឈ្មោះសម្រាប់ datab input bus។ អ្នកត្រូវតែជ្រើសរើស ចុះឈ្មោះបញ្ចូល B នៃមេគុណ ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់នៃការចុះឈ្មោះអសមកាលសម្រាប់រថយន្តក្រុងបញ្ចូលទិន្នន័យ។ អ្នកត្រូវតែជ្រើសរើស ចុះឈ្មោះបញ្ចូល B នៃមេគុណ ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់សមកាលកម្មចុះឈ្មោះសម្រាប់ឡានក្រុងបញ្ចូលទិន្នន័យ។ អ្នកត្រូវតែជ្រើសរើស ចុះឈ្មោះបញ្ចូល B នៃមេគុណ ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
ជ្រើសរើសប្រភពបញ្ចូលសម្រាប់បញ្ចូល A នៃមេគុណ។
បន្ត…

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 50

ផ្ញើមតិកែលម្អ

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

ប៉ារ៉ាម៉ែត្រ

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

វិភាគការកំណត់រចនាសម្ព័ន្ធចុះឈ្មោះ

ចុះឈ្មោះលទ្ធផលនៃខ្សែសង្វាក់ស្កេន

gui_scanouta បើក

_ចុះឈ្មោះ

បិទ

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលនាឡិកា?

gui_scanouta _register_cloc k

នាឡិកា ០ នាឡិកា ១ នាឡិកា ២

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

gui_scanouta _register_aclr

គ្មាន ACLR0 ACLR1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម?

gui_scanouta _register_sclr

គ្មាន SCLR0 SCLR1

៨.៦.៤. ផ្ទាំង Prereadder

តារាងទី 33. ផ្ទាំង Preadder

ប៉ារ៉ាម៉ែត្រ

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

ជ្រើសរើសរបៀបអ្នកនាំមុខ

preadder_mo de

សាមញ្ញ, COEF, បញ្ចូល, ការ៉េ, ថេរ

តម្លៃលំនាំដើម

ការពិពណ៌នា
ជ្រើសរើសការបញ្ចូលមេគុណ ដើម្បីប្រើ dataa input bus ជាប្រភពទៅកាន់មេគុណ។ ជ្រើសរើស​ការ​បញ្ចូល​ខ្សែសង្វាក់​ស្កែន ដើម្បី​ប្រើ​ឡានក្រុង​បញ្ចូល​ស្កែន​ជា​ប្រភព​ទៅ​មេគុណ ហើយ​បើក​ឡានក្រុង​លទ្ធផល​ស្កេន។ ប៉ារ៉ាម៉ែត្រនេះអាចប្រើបាននៅពេលអ្នកជ្រើសរើស 2, 3 ឬ 4 សម្រាប់ចំនួនមេគុណជាអ្វី? ប៉ារ៉ាម៉ែត្រ។

បិទនាឡិកា0 គ្មាន គ្មាន

ជ្រើសរើសជម្រើសនេះដើម្បីបើកដំណើរការចុះឈ្មោះលទ្ធផលសម្រាប់ឡានក្រុងលទ្ធផល scanouta ។
អ្នក​ត្រូវ​តែ​ជ្រើសរើស​ការ​បញ្ចូល​ខ្សែ​សង្វាក់​ស្កែន​សម្រាប់​អ្វី​ដែល​ការ​បញ្ចូល A នៃ​មេគុណ​ត្រូវ​បាន​តភ្ជាប់​ទៅ? ប៉ារ៉ាម៉ែត្រដើម្បីបើកជម្រើសនេះ។
ជ្រើសរើស Clock0 , Clock1 ឬ Clock2 ដើម្បីបើក និងបញ្ជាក់សញ្ញានាឡិកាបញ្ចូលចុះឈ្មោះសម្រាប់ scanouta output bus។
អ្នកត្រូវតែបើកការចុះឈ្មោះលទ្ធផលនៃប៉ារ៉ាម៉ែត្រខ្សែសង្វាក់ស្កេនដើម្បីបើកជម្រើសនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់នៃការចុះឈ្មោះអសមកាលសម្រាប់ឡានក្រុងលទ្ធផល scanouta ។
អ្នកត្រូវតែបើកការចុះឈ្មោះលទ្ធផលនៃប៉ារ៉ាម៉ែត្រខ្សែសង្វាក់ស្កេនដើម្បីបើកជម្រើសនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់សមកាលកម្មចុះឈ្មោះសម្រាប់ឡានក្រុងលទ្ធផល scanouta ។
អ្នកត្រូវតែជ្រើសរើសលទ្ធផលចុះឈ្មោះនៃប៉ារ៉ាម៉ែត្រខ្សែសង្វាក់ស្កេនដើម្បីបើកជម្រើសនេះ។

តម្លៃលំនាំដើម
សាមញ្ញ

ការពិពណ៌នា
បញ្ជាក់របៀបប្រតិបត្តិការសម្រាប់ម៉ូឌុលនាំមុខ។ សាមញ្ញ៖ របៀប​នេះ​រំលង​ឧបករណ៍​បំពង​សំឡេង។ នេះជារបៀបលំនាំដើម។ COEF៖ របៀបនេះប្រើលទ្ធផលនៃឡានក្រុងបញ្ចូល preadder និង coefsel ជាធាតុបញ្ចូលទៅមេគុណ។ បញ្ចូល៖ របៀបនេះប្រើទិន្នផលរបស់ preadder និង datac input bus ជាធាតុបញ្ចូលទៅមេគុណ។ SQUARE៖ របៀបនេះប្រើលទ្ធផលនៃ preadder ជាធាតុបញ្ចូលទាំងពីរទៅកាន់មេគុណ។
បន្ត…

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 51

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

ប៉ារ៉ាម៉ែត្រ

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

ជ្រើសរើសទិសដៅនាំមុខ

gui_preadder ADD,

_ទិសដៅ

SUB

តើឡានក្រុងបញ្ចូល C width_c មានទំហំប៉ុនណា?

1 - 256

ទិន្នន័យ C Input Register Configuration

ចុះឈ្មោះការបញ្ចូលទិន្នន័យ

gui_datac_inp បើក

ut_ចុះឈ្មោះ

បិទ

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលនាឡិកា?

gui_datac_inp ut_register_cl ock

នាឡិកា ០ នាឡិកា ១ នាឡិកា ២

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

gui_datac_inp ut_register_a clr

គ្មាន ACLR0 ACLR1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម?

gui_datac_inp ut_register_sc lr

គ្មាន SCLR0 SCLR1

មេគុណ
តើ​ទទឹង​មេគុណ​គួរ​មាន​ទទឹង​ប៉ុន្មាន?

width_coef

1 - 27

ការកំណត់រចនាសម្ព័ន្ធចុះឈ្មោះ Coef

ចុះឈ្មោះការបញ្ចូល coefsel

gui_coef_regi បើក

ស្ត

បិទ

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលនាឡិកា?

gui_coef_regi ster_clock

នាឡិកា ០ នាឡិកា ១ នាឡិកា ២

តម្លៃលំនាំដើម
បន្ថែម
16

ការពិពណ៌នា
CONSTANT៖ ទម្រង់នេះប្រើ dataa input bus ជាមួយ preadder bypassed and coefsel input bus ជាធាតុបញ្ចូលទៅមេគុណ។
បញ្ជាក់ប្រតិបត្តិការរបស់ឧបករណ៍បំពង ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ សូមជ្រើសរើសដូចខាងក្រោមសម្រាប់ជ្រើសរើសរបៀបនាំមុខ៖ · COEF · INPUT · SQUARE ឬ · CONSTANT
បញ្ជាក់ចំនួនប៊ីតសម្រាប់ឡានក្រុងបញ្ចូល C ។ អ្នក​ត្រូវ​តែ​ជ្រើស​បញ្ចូល​បញ្ចូល​សម្រាប់​ជ្រើស​របៀប​មុន​ដើម្បី​បើក​ប៉ារ៉ាម៉ែត្រ​នេះ​។

នៅលើ Clock0 NONE NONE

ជ្រើសរើសជម្រើសនេះដើម្បីបើកការចុះឈ្មោះបញ្ចូលសម្រាប់រថយន្តក្រុងបញ្ចូលទិន្នន័យ។ អ្នក​ត្រូវ​តែ​កំណត់​ការ​បញ្ចូល​ទៅ​ក្នុង​ការ​ជ្រើស​ប៉ារ៉ាម៉ែត្រ​ទម្រង់​មុន​ដើម្បី​បើក​ជម្រើស​នេះ។
ជ្រើសរើស Clock0, Clock1 ឬ Clock2 ដើម្បីបញ្ជាក់សញ្ញានាឡិកាបញ្ចូលសម្រាប់ការចុះឈ្មោះទិន្នន័យបញ្ចូលទិន្នន័យ។ អ្នក​ត្រូវ​តែ​ជ្រើស​ចុះ​ឈ្មោះ​ការ​បញ្ចូល​ទិន្នន័យ​ដើម្បី​បើក​ប៉ារ៉ាម៉ែត្រ​នេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់អសមកាលសម្រាប់ការចុះឈ្មោះបញ្ចូលទិន្នន័យ។ អ្នក​ត្រូវ​តែ​ជ្រើស​ចុះ​ឈ្មោះ​ការ​បញ្ចូល​ទិន្នន័យ​ដើម្បី​បើក​ប៉ារ៉ាម៉ែត្រ​នេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់សមកាលកម្មសម្រាប់ការចុះឈ្មោះបញ្ចូលទិន្នន័យ។ អ្នក​ត្រូវ​តែ​ជ្រើស​ចុះ​ឈ្មោះ​ការ​បញ្ចូល​ទិន្នន័យ​ដើម្បី​បើក​ប៉ារ៉ាម៉ែត្រ​នេះ។

18

បញ្ជាក់ចំនួនប៊ីតសម្រាប់

ឡានក្រុងបញ្ចូល coefsel ។

អ្នកត្រូវតែជ្រើសរើស COEF ឬ CONSTANT សម្រាប់មុខងារ preadder ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។

នាឡិកា ០

ជ្រើសរើសជម្រើសនេះដើម្បីបើកការចុះឈ្មោះបញ្ចូលសម្រាប់ឡានក្រុងបញ្ចូល coefsel ។ អ្នកត្រូវតែជ្រើសរើស COEF ឬ CONSTANT សម្រាប់មុខងារ preadder ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
ជ្រើសរើស Clock0, Clock1 ឬ Clock2 ដើម្បីបញ្ជាក់សញ្ញានាឡិកាបញ្ចូលសម្រាប់ការចុះឈ្មោះ coefsel input ។ អ្នកត្រូវតែជ្រើសរើសចុះឈ្មោះការបញ្ចូល coefsel ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បន្ត…

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 52

ផ្ញើមតិកែលម្អ

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

ប៉ារ៉ាម៉ែត្រ
តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

gui_coef_regi ster_aclr

គ្មាន ACLR0 ACLR1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម

gui_coef_regi ster_sclr

គ្មាន SCLR0 SCLR1

Coefficient_0 ការកំណត់រចនាសម្ព័ន្ធ

coef0_0 ទៅ coef0_7

0x00000 0xFFFFFF

Coefficient_1 ការកំណត់រចនាសម្ព័ន្ធ

coef1_0 ទៅ coef1_7

0x00000 0xFFFFFF

Coefficient_2 ការកំណត់រចនាសម្ព័ន្ធ

coef2_0 ទៅ coef2_7

0x00000 0xFFFFFF

Coefficient_3 ការកំណត់រចនាសម្ព័ន្ធ

coef3_0 ទៅ coef3_7

0x00000 0xFFFFFF

៨.៦.៥. ផ្ទាំង Accumulator

តារាងទី 34. ផ្ទាំង Accumulator

ប៉ារ៉ាម៉ែត្រ

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

បើកដំណើរការឧបករណ៍ប្រមូលផ្តុំ?

កកកុញ

បាទ​អត់​ទេ

តើអ្វីទៅជាប្រភេទប្រតិបត្តិការ accumulator?

accum_directi ADD,

on

SUB

តម្លៃលំនាំដើម NONE
គ្មាន
0x0000000 0
0x0000000 0
0x0000000 0
0x0000000 0

ការពិពណ៌នា
បញ្ជាក់ប្រភពច្បាស់លាស់អសមកាលសម្រាប់ការចុះឈ្មោះបញ្ចូល coefsel ។ អ្នកត្រូវតែជ្រើសរើសចុះឈ្មោះការបញ្ចូល coefsel ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់សមកាលកម្មសម្រាប់ការចុះឈ្មោះបញ្ចូល coefsel ។ អ្នកត្រូវតែជ្រើសរើសចុះឈ្មោះការបញ្ចូល coefsel ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់តម្លៃមេគុណសម្រាប់មេគុណទីមួយនេះ។ ចំនួន​ប៊ីត​ត្រូវ​តែ​ដូច​គ្នា​នឹង​ការ​បញ្ជាក់​ក្នុង​តើ​ទទឹង​មេគុណ​គួរ​មាន​ទទឹង​ប៉ុន្មាន? ប៉ារ៉ាម៉ែត្រ។ អ្នកត្រូវតែជ្រើសរើស COEF ឬ CONSTANT សម្រាប់មុខងារ preadder ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់តម្លៃមេគុណសម្រាប់មេគុណទីពីរនេះ។ ចំនួន​ប៊ីត​ត្រូវ​តែ​ដូច​គ្នា​នឹង​ការ​បញ្ជាក់​ក្នុង​តើ​ទទឹង​មេគុណ​គួរ​មាន​ទទឹង​ប៉ុន្មាន? ប៉ារ៉ាម៉ែត្រ អ្នកត្រូវតែជ្រើសរើស COEF ឬ CONSTANT សម្រាប់មុខងារ preadder ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់តម្លៃមេគុណសម្រាប់មេគុណទីបីនេះ។ ចំនួន​ប៊ីត​ត្រូវ​តែ​ដូច​គ្នា​នឹង​ការ​បញ្ជាក់​ក្នុង​តើ​ទទឹង​មេគុណ​គួរ​មាន​ទទឹង​ប៉ុន្មាន? ប៉ារ៉ាម៉ែត្រ។ អ្នកត្រូវតែជ្រើសរើស COEF ឬ CONSTANT សម្រាប់មុខងារ preadder ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។
បញ្ជាក់តម្លៃមេគុណសម្រាប់មេគុណទីបួននេះ។ ចំនួន​ប៊ីត​ត្រូវ​តែ​ដូច​គ្នា​នឹង​ការ​បញ្ជាក់​ក្នុង​តើ​ទទឹង​មេគុណ​គួរ​មាន​ទទឹង​ប៉ុន្មាន? ប៉ារ៉ាម៉ែត្រ។ អ្នកត្រូវតែជ្រើសរើស COEF ឬ CONSTANT សម្រាប់មុខងារ preadder ដើម្បីបើកប៉ារ៉ាម៉ែត្រនេះ។

តម្លៃលំនាំដើម NO
បន្ថែម

ការពិពណ៌នា
ជ្រើសរើស បាទ/ចាស ដើម្បីបើកឧបករណ៍ផ្ទុក។ អ្នក​ត្រូវ​តែ​ជ្រើស​ចុះ​បញ្ជី​លទ្ធផល​នៃ​ឯកតា​បន្ថែម​នៅ​ពេល​ដែល​ប្រើ​លក្ខណៈ​ពិសេស accumulator ។
បញ្ជាក់ប្រតិបត្តិការរបស់ accumulator៖ · ADD សម្រាប់ប្រតិបត្តិការបន្ថែម · SUB សម្រាប់ប្រតិបត្តិការដក។ អ្នក​ត្រូវ​តែ​ជ្រើស​រើស​បាទ​សម្រាប់​បើក​ការ​ប្រមូល​ផ្ដុំ​ឬ? ប៉ារ៉ាម៉ែត្រដើម្បីបើកជម្រើសនេះ។
បន្ត…

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 53

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

ប៉ារ៉ាម៉ែត្រ
Preload Constant បើក Preload Constant

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

gui_ena_prelo បើក

ad_const

បិទ

តើការបញ្ចូលច្រកកកកុញត្រូវបានតភ្ជាប់ទៅអ្វី?

gui_accumula ACCUM_SLOAD, te_port_select SLOAD_ACCUM

ជ្រើសរើសតម្លៃសម្រាប់ការផ្ទុកជាមុន loadconst_val 0 – 64

ថេរ

ue

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលនាឡិកា?

gui_accum_sl oad_register_ នាឡិកា

នាឡិកា ០ នាឡិកា ១ នាឡិកា ២

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

gui_accum_sl oad_register_ aclr

គ្មាន ACLR0 ACLR1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម?

gui_accum_sl oad_register_ sclr

គ្មាន SCLR0 SCLR1

បើកដំណើរការឧបករណ៍ផ្ទុកទ្វេដង

gui_double_a បើក

ccum

បិទ

តម្លៃលំនាំដើម

ការពិពណ៌នា

បិទ

បើកដំណើរការ accum_sload ឬ

សញ្ញា sload_accum និងចុះឈ្មោះបញ្ចូល

ដើម្បីជ្រើសរើសការបញ្ចូលទៅក្នុងប្រអប់ថាមវន្ត

កកកុញ

នៅពេល accum_sload ទាប ឬ sload_accum លទ្ធផលមេគុណត្រូវបានបញ្ចូលទៅក្នុង accumulator ។

នៅពេល accum_sload ខ្ពស់ ឬ sload_accum អ្នកប្រើប្រាស់ដែលបានបញ្ជាក់ជាមុននូវថេរផ្ទុកទៅក្នុង accumulator ។

អ្នក​ត្រូវ​តែ​ជ្រើស​រើស​បាទ​សម្រាប់​បើក​ការ​ប្រមូល​ផ្ដុំ​ឬ? ប៉ារ៉ាម៉ែត្រដើម្បីបើកជម្រើសនេះ។

ACCUM_SL OAD

បញ្ជាក់ឥរិយាបថរបស់សញ្ញា accum_sload/ sload_accum ។
ACCUM_SLOAD៖ ជំរុញ accum_sload ទាប ដើម្បីផ្ទុកលទ្ធផលមេគុណទៅ accumulator ។
SLOAD_ACCUM៖ ជំរុញ sload_accum ខ្ពស់ដើម្បីផ្ទុកទិន្នផលមេគុណទៅ accumulator ។
អ្នក​ត្រូវ​តែ​ជ្រើស​បើក​ជម្រើស​ថេរ​ផ្ទុក​ជាមុន ដើម្បី​បើក​ប៉ារ៉ាម៉ែត្រ​នេះ។

64

បញ្ជាក់តម្លៃថេរដែលបានកំណត់ជាមុន។

តម្លៃនេះអាចជា 2N ដែល N ជាតម្លៃថេរដែលបានកំណត់ជាមុន។

នៅពេល N=64 វាតំណាងឱ្យសូន្យថេរ។

អ្នក​ត្រូវ​តែ​ជ្រើស​បើក​ជម្រើស​ថេរ​ផ្ទុក​ជាមុន ដើម្បី​បើក​ប៉ារ៉ាម៉ែត្រ​នេះ។

នាឡិកា0

ជ្រើសរើស Clock0, Clock1 ឬ Clock2 ដើម្បីបញ្ជាក់សញ្ញានាឡិកាបញ្ចូលសម្រាប់ការចុះឈ្មោះ accum_sload/sload_accum ។
អ្នក​ត្រូវ​តែ​ជ្រើស​បើក​ជម្រើស​ថេរ​ផ្ទុក​ជាមុន ដើម្បី​បើក​ប៉ារ៉ាម៉ែត្រ​នេះ។

គ្មាន

បញ្ជាក់ប្រភពច្បាស់លាស់អសមកាលសម្រាប់ការចុះឈ្មោះ accum_sload/sload_accum ។
អ្នក​ត្រូវ​តែ​ជ្រើស​បើក​ជម្រើស​ថេរ​ផ្ទុក​ជាមុន ដើម្បី​បើក​ប៉ារ៉ាម៉ែត្រ​នេះ។

គ្មាន

បញ្ជាក់ប្រភពច្បាស់លាស់សមកាលកម្មសម្រាប់ការចុះឈ្មោះ accum_sload/sload_accum ។
អ្នក​ត្រូវ​តែ​ជ្រើស​បើក​ជម្រើស​ថេរ​ផ្ទុក​ជាមុន ដើម្បី​បើក​ប៉ារ៉ាម៉ែត្រ​នេះ។

បិទ

បើកការចុះឈ្មោះ accumulator ទ្វេ។

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 54

ផ្ញើមតិកែលម្អ

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

៨.៦.៦. ផ្ទាំងស៊ីស្តូលិក/ខ្សែសង្វាក់

តារាង 35. Systolic/Chainout Adder Tab

ប៉ារ៉ាម៉ែត្របើកកម្មវិធីបន្ថែមខ្សែសង្វាក់

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

chainout_add បាទ/ចាស,

er

ទេ

តើអ្វីទៅជាប្រភេទនៃប្រតិបត្តិការ adder chainout?

chainout_add ADD,

er_direction

SUB

បើកការបញ្ចូល 'negate' សម្រាប់កម្មវិធីបន្ថែមខ្សែសង្វាក់?

Port_negate

PORT_USED, PORT_UNUSED

ចុះឈ្មោះការបញ្ចូល 'negate'? negate_regist er

មិនបានចុះឈ្មោះ, នាឡិកា 0, នាឡិកា 1, នាឡិកា 2, នាឡិកា 3

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

negate_aclr

គ្មាន ACLR0 ACLR1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម?

negate_sclr

គ្មាន SCLR0 SCLR1

ការពន្យាពេលស៊ីស្តូលិក
បើកការចុះឈ្មោះពន្យាពេលស៊ីស្តូលិក

gui_systolic_d បើក

មិនអីទេ

បិទ

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលនាឡិកា?

gui_systolic_d CLOCK0,

elay_clock

នាឡិកា 1,

តម្លៃលំនាំដើម
ទេ

ការពិពណ៌នា
ជ្រើសរើស បាទ/ចាស ដើម្បីបើកម៉ូឌុលបន្ថែមខ្សែសង្វាក់។

បន្ថែម

បញ្ជាក់ប្រតិបត្តិការបន្ថែមខ្សែសង្វាក់។
សម្រាប់ប្រតិបត្តិការដក SIGNED ត្រូវតែជ្រើសរើសសម្រាប់អ្វីជាទម្រង់តំណាងសម្រាប់ការបញ្ចូលពហុគុណ A? ហើយតើអ្វីជាទម្រង់តំណាងសម្រាប់ការបញ្ចូលពហុគុណ B? នៅក្នុងផ្ទាំងមេគុណ។

PORT_UN ប្រើ

ជ្រើសរើស PORT_USED ដើម្បីបើកសញ្ញាបញ្ចូលអវិជ្ជមាន។
ប៉ារ៉ាម៉ែត្រនេះមិនត្រឹមត្រូវទេ នៅពេលដែលកម្មវិធីបន្ថែមខ្សែសង្វាក់ត្រូវបានបិទ។

មិនបានចុះឈ្មោះ ERED

ដើម្បីបើកការចុះឈ្មោះបញ្ចូលសម្រាប់សញ្ញាបញ្ចូល negate និងបញ្ជាក់សញ្ញានាឡិកាបញ្ចូលសម្រាប់ការចុះឈ្មោះ negate ។
ជ្រើស​មិន​បាន​ចុះ​ឈ្មោះ​ប្រសិន​បើ​ការ​ចុះឈ្មោះ​បញ្ចូល​អវិជ្ជមាន​ទៅ​គឺ​មិន​ត្រូវ​ការ​
ប៉ារ៉ាម៉ែត្រនេះមិនត្រឹមត្រូវទេ នៅពេលអ្នកជ្រើសរើស៖
· ទេសម្រាប់បើកដំណើរការកម្មវិធីបន្ថែមខ្សែសង្វាក់ ឬ
· PORT_UNUSED សម្រាប់បើកការបញ្ចូល 'negate' សម្រាប់ chainout adder? ប៉ារ៉ាម៉ែត្រឬ

គ្មាន

បញ្ជាក់ប្រភពច្បាស់លាស់អសមកាលសម្រាប់ការចុះឈ្មោះ negate ។
ប៉ារ៉ាម៉ែត្រនេះមិនត្រឹមត្រូវទេ នៅពេលអ្នកជ្រើសរើស៖
· ទេសម្រាប់បើកដំណើរការកម្មវិធីបន្ថែមខ្សែសង្វាក់ ឬ
· PORT_UNUSED សម្រាប់បើកការបញ្ចូល 'negate' សម្រាប់ chainout adder? ប៉ារ៉ាម៉ែត្រឬ

គ្មាន

បញ្ជាក់ប្រភពច្បាស់លាស់សមកាលកម្មសម្រាប់ការចុះឈ្មោះ negate ។
ប៉ារ៉ាម៉ែត្រនេះមិនត្រឹមត្រូវទេ នៅពេលអ្នកជ្រើសរើស៖
· ទេសម្រាប់បើកដំណើរការកម្មវិធីបន្ថែមខ្សែសង្វាក់ ឬ
· PORT_UNUSED សម្រាប់បើកការបញ្ចូល 'negate' សម្រាប់ chainout adder? ប៉ារ៉ាម៉ែត្រឬ

បិទ CLOCK0

ជ្រើសរើសជម្រើសនេះដើម្បីបើករបៀបស៊ីស្តូលិក។ ប៉ារ៉ាម៉ែត្រនេះអាចប្រើបាននៅពេលអ្នកជ្រើសរើស 2 ឬ 4 សម្រាប់ចំនួនមេគុណជាអ្វី? ប៉ារ៉ាម៉ែត្រ អ្នកត្រូវតែបើកលទ្ធផលចុះឈ្មោះនៃអង្គភាពបន្ថែម ដើម្បីប្រើការចុះឈ្មោះពន្យាពេលស៊ីស្តូលិក។
បញ្ជាក់សញ្ញានាឡិកាបញ្ចូលសម្រាប់ការចុះឈ្មោះពន្យាពេលស៊ីស្តូលិក។
បន្ត…

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 55

8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05

ប៉ារ៉ាម៉ែត្រ

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

នាឡិកា 2,

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

gui_systolic_d elay_aclr

គ្មាន ACLR0 ACLR1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម?

gui_systolic_d elay_sclr

គ្មាន SCLR0 SCLR1

តម្លៃលំនាំដើម
គ្មាន
គ្មាន

ការពិពណ៌នា
អ្នកត្រូវតែជ្រើសរើសបើកការចុះឈ្មោះពន្យាពេលស៊ីស្តូលិក ដើម្បីបើកជម្រើសនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់អសមកាលសម្រាប់ការចុះឈ្មោះពន្យាពេលស៊ីស្តូលិក។ អ្នកត្រូវតែជ្រើសរើសបើកការចុះឈ្មោះពន្យាពេលស៊ីស្តូលិក ដើម្បីបើកជម្រើសនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់សមកាលកម្មសម្រាប់ការចុះឈ្មោះពន្យាពេលស៊ីស្តូលិក។ អ្នកត្រូវតែជ្រើសរើសបើកការចុះឈ្មោះពន្យាពេលស៊ីស្តូលិក ដើម្បីបើកជម្រើសនេះ។

៤.៦.៣. ផ្ទាំងបំពង់

តារាងទី 36. ផ្ទាំងបំពង់

ប៉ារ៉ាម៉ែត្រកំណត់រចនាសម្ព័ន្ធបំពង់

ប៉ារ៉ាម៉ែត្របង្កើត IP

តម្លៃ

តើ​អ្នក​ចង់​បន្ថែម​ការ​ចុះឈ្មោះ​បំពង់​ទៅ​ការ​បញ្ចូល​ដែរ​ឬ​ទេ?

gui_pipelining ទេ បាទ

តម្លៃលំនាំដើម
ទេ

សូមបញ្ជាក់

ភាពយឺតយ៉ាវ

ចំនួនម៉ោង latency

វដ្ត

តម្លៃណាមួយធំជាង 0

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលនាឡិកា?

gui_input_late ncy_clock

CLOCK0, CLOCK1, CLOCK2

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់អសមកាល?

gui_input_late ncy_aclr

គ្មាន ACLR0 ACLR1

តើអ្វីជាប្រភពសម្រាប់ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម?

gui_input_late ncy_sclr

គ្មាន SCLR0 SCLR1

CLOCK0 គ្មាន គ្មាន

ការពិពណ៌នា
ជ្រើសរើស បាទ/ចាស ដើម្បីបើកកម្រិតបន្ថែមនៃការចុះឈ្មោះបំពង់ទៅកាន់សញ្ញាបញ្ចូល។ អ្នក​ត្រូវ​តែ​បញ្ជាក់​តម្លៃ​ធំ​ជាង 0 សម្រាប់​សូម​បញ្ជាក់​ចំនួន​នៃ​ប៉ារ៉ាម៉ែត្រ​វដ្ដ​នាឡិកា​ភាព​យឺត។
បញ្ជាក់ភាពយឺតយ៉ាវដែលចង់បាននៅក្នុងវដ្តនាឡិកា។ កម្រិតមួយនៃការចុះឈ្មោះបំពង់ = 1 ភាពយឺតយ៉ាវក្នុងវដ្តនាឡិកា។ អ្នកត្រូវតែជ្រើសរើស បាទ/ចាស សម្រាប់ តើអ្នកចង់បន្ថែមការចុះឈ្មោះបំពង់ទៅការបញ្ចូលទេ? ដើម្បីបើកជម្រើសនេះ។
ជ្រើសរើស Clock0 , Clock1 ឬ Clock2 ដើម្បីបើក និងបញ្ជាក់ការចុះឈ្មោះបំពង់បញ្ចូលសញ្ញានាឡិកា។ អ្នកត្រូវតែជ្រើសរើស បាទ/ចាស សម្រាប់ តើអ្នកចង់បន្ថែមការចុះឈ្មោះបំពង់ទៅការបញ្ចូលទេ? ដើម្បីបើកជម្រើសនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់នៃការចុះឈ្មោះអសមកាលសម្រាប់ការចុះឈ្មោះបំពង់បន្ថែម។ អ្នកត្រូវតែជ្រើសរើស បាទ/ចាស សម្រាប់ តើអ្នកចង់បន្ថែមការចុះឈ្មោះបំពង់ទៅការបញ្ចូលទេ? ដើម្បីបើកជម្រើសនេះ។
បញ្ជាក់ប្រភពច្បាស់លាស់នៃការចុះឈ្មោះសមកាលកម្មសម្រាប់ការចុះឈ្មោះបំពង់បន្ថែម។ អ្នកត្រូវតែជ្រើសរើស បាទ/ចាស សម្រាប់ តើអ្នកចង់បន្ថែមការចុះឈ្មោះបំពង់ទៅការបញ្ចូលទេ? ដើម្បីបើកជម្រើសនេះ។

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 56

ផ្ញើមតិកែលម្អ

683490 | 2020.10.05 ផ្ញើមតិកែលម្អ

9. ALTMEMMULT (មេគុណមេគុណថេរផ្អែកលើអង្គចងចាំ) IP Core

យកចិត្តទុកដាក់៖

Intel បានដកការគាំទ្រ IP នេះនៅក្នុង Intel Quartus Prime Pro Edition កំណែ 20.3 ។ ប្រសិនបើស្នូល IP នៅក្នុងការរចនារបស់អ្នកកំណត់គោលដៅឧបករណ៍នៅក្នុង Intel Quartus Prime Pro Edition អ្នកអាចជំនួស IP ដោយ LPM_MULT Intel FPGA IP ឬបង្កើត IP ឡើងវិញ និងចងក្រងការរចនារបស់អ្នកដោយប្រើកម្មវិធី Intel Quartus Prime Standard Edition ។

ស្នូល ALTMEMMULT IP ត្រូវបានប្រើដើម្បីបង្កើតមេគុណដែលមានមូលដ្ឋានលើអង្គចងចាំដោយប្រើប្លុកអង្គចងចាំ onchip ដែលមាននៅក្នុង Intel FPGAs (ជាមួយប្លុកអង្គចងចាំ M512, M4K, M9K និង MLAB) ។ ស្នូល IP នេះមានប្រយោជន៍ប្រសិនបើអ្នកមិនមានធនធានគ្រប់គ្រាន់ដើម្បីអនុវត្តមេគុណនៅក្នុងធាតុតក្កវិជ្ជា (LEs) ឬធនធានមេគុណដែលខិតខំប្រឹងប្រែង។
ស្នូល IP ALTMEMMULT គឺជាមុខងារធ្វើសមកាលកម្មដែលទាមទារនាឡិកា។ ស្នូល IP របស់ ALTMEMMULT អនុវត្តមេគុណជាមួយនឹងចរន្តតូចបំផុត និងភាពយឺតយ៉ាវដែលអាចធ្វើទៅបានសម្រាប់សំណុំប៉ារ៉ាម៉ែត្រ និងលក្ខណៈជាក់លាក់ដែលបានផ្តល់ឱ្យ។
រូបខាងក្រោមបង្ហាញពីច្រកសម្រាប់ ALTMEMMULT IP core ។

រូបភាពទី 21. ច្រក ALTMEMMULT

ALTMEMMULT

data_in[] sload_data coeff_in[]

result[] result_valid load_done

sload_coeff

នាឡិកា sclr
inst

លក្ខណៈ​ពិសេស​ព័ត៌មាន​ពាក់ព័ន្ធ​នៅ​ទំព័រ ៧១

9.1. លក្ខណៈពិសេស
ស្នូល ALTMEMMULT IP ផ្តល់នូវលក្ខណៈពិសេសដូចខាងក្រោមៈ · បង្កើតតែមេគុណដែលមានមូលដ្ឋានលើអង្គចងចាំដោយប្រើប្លុកអង្គចងចាំនៅលើបន្ទះឈីបដែលរកឃើញនៅក្នុង
Intel FPGAs · គាំទ្រទទឹងទិន្នន័យ 1 ប៊ីត · គាំទ្រទម្រង់តំណាងទិន្នន័យដែលបានចុះហត្ថលេខា និងមិនបានចុះហត្ថលេខា · គាំទ្រការដាក់បំពង់ជាមួយនឹងភាពយឺតនៃទិន្នផលថេរ

សាជីវកម្ម Intel ។ រក្សា​រ​សិទ្ធ​គ្រប់យ៉ាង។ Intel, និមិត្តសញ្ញា Intel និងសញ្ញា Intel ផ្សេងទៀតគឺជាពាណិជ្ជសញ្ញារបស់ Intel Corporation ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។ Intel ធានាការអនុវត្តផលិតផល FPGA និង semiconductor របស់ខ្លួនទៅនឹងលក្ខណៈបច្ចេកទេសបច្ចុប្បន្នស្របតាមការធានាស្តង់ដាររបស់ Intel ប៉ុន្តែរក្សាសិទ្ធិក្នុងការផ្លាស់ប្តូរផលិតផល និងសេវាកម្មណាមួយនៅពេលណាមួយដោយមិនមានការជូនដំណឹងជាមុន។ Intel សន្មត់ថាគ្មានទំនួលខុសត្រូវ ឬការទទួលខុសត្រូវដែលកើតចេញពីកម្មវិធី ឬការប្រើប្រាស់ព័ត៌មាន ផលិតផល ឬសេវាកម្មណាមួយដែលបានពិពណ៌នានៅទីនេះ លើកលែងតែមានការយល់ព្រមជាលាយលក្ខណ៍អក្សរដោយ Intel ។ អតិថិជនរបស់ Intel ត្រូវបានណែនាំឱ្យទទួលបានកំណែចុងក្រោយបំផុតនៃការបញ្ជាក់ឧបករណ៍ មុនពេលពឹងផ្អែកលើព័ត៌មានដែលបានបោះពុម្ពផ្សាយណាមួយ និងមុនពេលធ្វើការបញ្ជាទិញផលិតផល ឬសេវាកម្ម។ * ឈ្មោះ និងម៉ាកផ្សេងទៀតអាចត្រូវបានទាមទារជាកម្មសិទ្ធិរបស់អ្នកដទៃ។

ISO 9001:2015 បានចុះឈ្មោះ

9. ALTMEMMULT (មេគុណមេគុណថេរផ្អែកលើអង្គចងចាំ) IP Core 683490 | 2020.10.05
· រក្សាទុកថេរច្រើននៅក្នុងអង្គចងចាំចៃដន្យ (RAM)
· ផ្តល់ជម្រើសមួយដើម្បីជ្រើសរើសប្រភេទប្លុក RAM
· គាំទ្រ​ច្រក​បញ្ចូល​ដែល​ច្បាស់​លាស់ និង​គ្រប់គ្រង​ការ​ផ្ទុក​សមកាលកម្ម​ស្រេច​ចិត្ត
២.២. គំរូ Verilog HDL
គំរូ Verilog HDL ខាងក្រោមមានទីតាំងនៅក្នុង Verilog Design File (.v) altera_mf.v ក្នុង ថតសំយោគ eda ។
module altmemmult #( parameter coeff_representation = “SIGNED”, parameter coefficient0 = “UNUSED”, parameter data_representation = “SIGNED”, parameter intended_device_family = “unused”, parameter max_clock_cycles_per_result = 1_AU, parameter number_officient ម៉ែត្រ Total_latency = 1, ប៉ារ៉ាម៉ែត្រ width_c = 1, ប៉ារ៉ាម៉ែត្រ width_d = 1, ប៉ារ៉ាម៉ែត្រ width_r = 1, ប៉ារ៉ាម៉ែត្រ width_s = 1, ប៉ារ៉ាម៉ែត្រ lpm_type = “altmemmult”, ប៉ារ៉ាម៉ែត្រ lpm_hint = “មិនប្រើ”) (នាឡិកាលួសបញ្ចូល ខ្សែបញ្ចូល [width_c-1: 1]coeff_in, ខ្សែបញ្ចូល [width_d-0:1] data_in, output wire load_done, output wire [width_r-0:1] results, output wire result_valid, input wire sclr, input wire [width_s-0:1] sel, input wire sload_coeff, បញ្ចូល wire sload_data)/* synthesis syn_black_box=0 */; ម៉ូឌុលបញ្ចប់
២.៣. សេចក្តីប្រកាសសមាសធាតុ VHDL
សេចក្តីប្រកាសសមាសភាគ VHDL មានទីតាំងនៅក្នុង VHDL Design File (.vhd) altera_mf_components.vhd ក្នុង librariesvhdlaltera_mf ថត។
សមាសភាគ altmemmult generic ( coeff_representation: string := “SIGNED”; coefficient0:string := “UNUSED”; data_representation:string := “SIGNED”; purpose_device_family:string := “unused”; max_clock_cycles_peratural_result: := 1; "altmmmult"); port(clock: in std_logic; coeff_in: in std_logic_vector(width_c-1 down to 1) := (others => '1'); data_in: in std_logic_vector(width_d-0 ចុះដល់ 0);

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 58

ផ្ញើមតិកែលម្អ

9. ALTMEMMULT (មេគុណមេគុណថេរផ្អែកលើអង្គចងចាំ) IP Core 683490 | 2020.10.05

load_done: ចេញ std_logic; លទ្ធផល៖ ចេញ std_logic_vector(width_r-1 ចុះដល់ 0); result_valid: ចេញ std_logic; scr: ក្នុង std_logic := '0'; sel: ក្នុង std_logic_vector(width_s-1 ចុះដល់ 0) := (others => '0'); sload_coeff: ក្នុង std_logic := '0'; sload_data: ក្នុង std_logic := '0'); សមាសធាតុបញ្ចប់;

9.4. ច្រក

តារាងខាងក្រោមរាយបញ្ជីច្រកបញ្ចូល និងទិន្នផលសម្រាប់ស្នូល IP ALTMEMMULT ។

តារាង 37. ច្រកបញ្ចូល ALTMEMMULT

ឈ្មោះច្រក

ទាមទារ

ការពិពណ៌នា

នាឡិកា

បាទ

ការបញ្ចូលនាឡិកាទៅមេគុណ។

coeff_in[]

ទេ

ច្រកបញ្ចូលមេគុណសម្រាប់មេគុណ។ ទំហំនៃច្រកបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ WIDTH_C ។

data_in[]

បាទ

ច្រកបញ្ចូលទិន្នន័យទៅមេគុណ។ ទំហំនៃច្រកបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ WIDTH_D ។

sclr

ទេ

ការបញ្ចូលច្បាស់លាស់សមកាលកម្ម។ ប្រសិនបើមិនបានប្រើ តម្លៃលំនាំដើមគឺសកម្មខ្ពស់។

សែល[]

ទេ

ការជ្រើសរើសមេគុណថេរ។ ទំហំនៃច្រកបញ្ចូលអាស្រ័យលើ WIDTH_S

តម្លៃប៉ារ៉ាម៉ែត្រ។

sload_coeff

ទេ

ច្រកបញ្ចូលមេគុណបន្ទុកសមកាលកម្ម។ ជំនួសតម្លៃមេគុណដែលបានជ្រើសរើសបច្ចុប្បន្នជាមួយនឹងតម្លៃដែលបានបញ្ជាក់នៅក្នុងការបញ្ចូល coeff_in ។

sload_data

ទេ

ច្រកបញ្ចូលទិន្នន័យផ្ទុកសមកាលកម្ម។ សញ្ញាដែលបញ្ជាក់ប្រតិបត្តិការគុណថ្មី និងលុបចោលប្រតិបត្តិការគុណដែលមានស្រាប់ណាមួយ។ ប្រសិនបើប៉ារ៉ាម៉ែត្រ MAX_CLOCK_CYCLES_PER_RESULT មានតម្លៃ 1 នោះច្រកបញ្ចូលទិន្នន័យ sload_data មិនត្រូវបានអើពើ។

តារាង 38. ច្រកទិន្នផល ALTMEMMULT

ឈ្មោះច្រក

ទាមទារ

ការពិពណ៌នា

លទ្ធផល[]

បាទ

ច្រកទិន្នផលពហុគុណ។ ទំហំនៃច្រកបញ្ចូលអាស្រ័យលើតម្លៃប៉ារ៉ាម៉ែត្រ WIDTH_R ។

លទ្ធផល_ត្រឹមត្រូវ។

បាទ

ចង្អុលបង្ហាញនៅពេលដែលលទ្ធផលគឺជាលទ្ធផលត្រឹមត្រូវនៃគុណពេញលេញ។ ប្រសិនបើប៉ារ៉ាម៉ែត្រ MAX_CLOCK_CYCLES_PER_RESULT មានតម្លៃ 1 ច្រកលទ្ធផល result_valid មិនត្រូវបានប្រើទេ។

load_done

ទេ

ចង្អុលបង្ហាញនៅពេលដែលមេគុណថ្មីបានបញ្ចប់ការផ្ទុក។ សញ្ញា load_done អះអាងនៅពេលមេគុណថ្មីបានបញ្ចប់ការផ្ទុក។ លុះត្រាតែសញ្ញា load_done ខ្ពស់នោះ គ្មានតម្លៃមេគុណផ្សេងទៀតអាចផ្ទុកទៅក្នុងអង្គចងចាំបានទេ។

9.5. ប៉ារ៉ាម៉ែត្រ

តារាងខាងក្រោមរាយបញ្ជីប៉ារ៉ាម៉ែត្រសម្រាប់ស្នូល IP ALTEMEMMULT ។

តារាង 39 ។
WIDTH_D WIDTH_C

ប៉ារ៉ាម៉ែត្រ ALTMEMMULT
ឈ្មោះប៉ារ៉ាម៉ែត្រ

ប្រភេទដែលត្រូវការ

ការពិពណ៌នា

ចំនួនគត់ បាទ

បញ្ជាក់ទទឹងនៃច្រក data_in[] ។

ចំនួនគត់ បាទ

បញ្ជាក់ទទឹងនៃច្រក coeff_in[] ។ បន្ត…

ផ្ញើមតិកែលម្អ

ការណែនាំអ្នកប្រើប្រាស់ Intel FPGA Integer Arithmetic IP Cores 59

9. ALTMEMMULT (មេគុណមេគុណថេរផ្អែកលើអង្គចងចាំ) IP Core 683490 | 2020.10.05

ឈ្មោះប៉ារ៉ាម៉ែត្រ WIDTH_R WIDTH

ឯកសារ/ធនធាន

intel FPGA ចំនួនគត់នព្វន្ធ IP Cores [pdf] ការណែនាំអ្នកប្រើប្រាស់
ស្នូលនព្វន្ធ IP FPGA ចំនួនគត់, ស្នូលនព្វន្ធ IP ចំនួនគត់, ស្នូល IP នព្វន្ធ, ស្នូល IP

ឯកសារយោង

ទុកមតិយោបល់

អាសយដ្ឋានអ៊ីមែលរបស់អ្នកនឹងមិនត្រូវបានផ្សព្វផ្សាយទេ។ វាលដែលត្រូវការត្រូវបានសម្គាល់ *