FPGA Slánuimhir Croíthe IP Arithmetic
Treoir Úsáideora Intel FPGA Arithmetic IP Cores Users
Nuashonraithe do Intel® Quartus® Prime Design Suite: 20.3
Leagan Ar Líne Seol Aiseolas
UG-01063
ID: 683490 Leagan: 2020.10.05
Clár ábhair
Clár ábhair
1. Croíláir IP Comhlánaithe Comhlánaithe Intel FPGA……………………………………………………………………………………….. 5
2. LPM_COUNTER (Cuntar) Croílár IP………………………………………………………………………………………….. 7 2.1. Gnéithe………………………………………………………………………………………………………………………………… 7 2.2. Fréamhshamhail Verilog HDL……………………………………………………………………………….. 8 2.3. Dearbhú Comhpháirte VHDL………………………………………………………………………………………….8 2.4. LEABHARLANN VHDL_ÚSÁID Dearbhú ……………………………………………………………………………………… 9 2.5. Calafoirt………………………………………………………………………………………..9 2.6. Paraiméadair……………………………………………………………………………………… 10
3. LPM_DIVIDE (Roinnteoir) Intel FPGA IP Core…………………………………………………………………………….. 12 3.1. Gnéithe…………………………………………………………………………………………. 12 3.2. Fréamhshamhail Verilog HDL……………………………………………………………………………… 12 3.3. Dearbhú Comhpháirte VHDL……………………………………………………………………………………….. 13 3.4. LEABHARLANN VHDL_ÚSÁID Dearbhú …………………………………………………………………. 13 3.5. Calafoirt……………………………………………………………………………………… 13 3.6. Paraiméadair……………………………………………………………………………………… 14
4. LPM_MULT (Iolraitheoir) IP Core…………………………………………………………………………. 16 4.1. Gnéithe…………………………………………………………………………………………. 16 4.2. Fréamhshamhail Verilog HDL……………………………………………………………………………… 17 4.3. Dearbhú Comhpháirte VHDL……………………………………………………………………………………….. 17 4.4. LEABHARLANN VHDL_ÚSÁID Dearbhú …………………………………………………………………. 17 4.5. Comharthaí …………………………………………………………………………………………………………………………… 18 4.6. Paraiméadair le haghaidh Stratix V, Arria V, Cyclone V, agus Feistí LP 10 LP le haghaidh Timthriall Intel …………… 18 4.6.1. Cluaisín Ginearálta ……………………………………………………………………………………… 18 4.6.2. Ginearálta 2 Cluaisín ………………………………………………………………………… 19 4.6.3. Cluaisín Píblíne ………………………………………………………………………… 19 4.7. Paraiméadair le haghaidh Gléasanna Intel Stratix 10, Intel Arria 10, agus Intel Cyclone 10 GX……….. 20 4.7.1. Cluaisín Ginearálta ……………………………………………………………………………………… 20 4.7.2. Ginearálta 2 Cluaisín ………………………………………………………………………… 20 4.7.3. Píblíne ……………………………………………………………………………………………………………………21
5. LPM_ADD_SUB (Suisteoir/Subtractóir)……………………………………………………………………………………… 22 5.1. Gnéithe…………………………………………………………………………………………. 22 5.2. Fréamhshamhail Verilog HDL……………………………………………………………………………… 23 5.3. Dearbhú Comhpháirte VHDL……………………………………………………………………………………….. 23 5.4. LEABHARLANN VHDL_ÚSÁID Dearbhú …………………………………………………………………. 23 5.5. Calafoirt……………………………………………………………………………………… 23 5.6. Paraiméadair……………………………………………………………………………………… 24
6. LPM_COMPARE (Comparator)…………………………………………………………………………… 26 6.1. Gnéithe…………………………………………………………………………………………. 26 6.2. Fréamhshamhail Verilog HDL………………………………………………………………………… 27 6.3. Dearbhú Comhpháirte VHDL……………………………………………………………………………………….. 27 6.4. LEABHARLANN VHDL_ÚSÁID Dearbhú …………………………………………………………………. 27 6.5. Calafoirt……………………………………………………………………………………… 27 6.6. Paraiméadair……………………………………………………………………………………… 28
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 2
Seol Aiseolas
Clár ábhair
7. ALTECC (Cód Ceartúcháin Earráide: Ionchódóir/Díchódóir) Core IP……………………………………… 30
7.1. Gnéithe Ionchódóra ALTECC…………………………………………………………………………..31 7.2. Fréamhshamhail Verilog HDL (ALTECC_ENCODER)……………………………………………………. 32 7.3. Fréamhshamhail Verilog HDL (ALTECC_DECODER)……………………………………………………. 32 7.4. Dearbhú Comhpháirte VHDL (ALTECC_ENCODER)…………………………………………………………………………… 33 7.5. Dearbhú Comhpháirte VHDL (ALTECC_DECODER)…………………………………………………………………………… 33 7.6. LEABHARLANN VHDL_ÚSÁID Dearbhú …………………………………………………………………. 33 7.7. Calafoirt Ionchódóra ……………………………………………………………………………………… 33 7.8. Calafoirt Dhíchódóra ……………………………………………………………………………………… 34 7.9. Paraiméadair Ionchódóra…………………………………………………………………………… 34 7.10. Paraiméadair an Díchódóra ……………………………………………………………………………… 35
8. Intel FPGA Iolrú Croí IP Adder ………………………………………………………………………………………. 36
8.1. Gnéithe…………………………………………………………………………………………. 37 8.1.1. Réamh-nasc ……………………………………………………………………………………….. 38 8.1.2. Clár Moill Systolic………………………………………………………………….. 40 8.1.3. Tairiseach réamh-lódála………………………………………………………………………… 43 8.1.4. Taisclann Dúbailte…………………………………………………………………………………………… 43
8.2. Fréamhshamhail Verilog HDL………………………………………………………………………… 44 8.3. Dearbhú Comhpháirte VHDL……………………………………………………………………………………….. 44 8.4. LEABHARLANN VHDL_ÚSÁID Dearbhú …………………………………………………………………. 44 8.5. Comharthaí ……………………………………………………………………………………… 44 8.6. Paraiméadair……………………………………………………………………………………… 47
8.6.1. Cluaisín Ginearálta ……………………………………………………………………………………… 47 8.6.2. Cluaisín Móid Breise………………………………………………………………………….. 47 8.6.3. Cluaisín Iolraitheoirí………………………………………………………………………….. 49 8.6.4. Cluaisín Preadder………………………………………………………………………………. 51 8.6.5. Cluaisín Taisc ………………………………………………………………………….. 53 8.6.6. Cluaisín Systolach/Slabhra Amach ………………………………………………………………………………………. 55 8.6.7. Cluaisín Píblíne……………………………………………………………………………… 56
9. ALTEMEMMULT (Iolraitheoir Comhéifeacht Tairiseach Cuimhnebhunaithe) Croí IP…………………………… 57
9.1. Gnéithe…………………………………………………………………………………………. 57 9.2. Fréamhshamhail Verilog HDL………………………………………………………………………… 58 9.3. Dearbhú Comhpháirte VHDL……………………………………………………………………………………….. 58 9.4. Calafoirt……………………………………………………………………………………… 59 9.5. Paraiméadair……………………………………………………………………………………… 59
10. ALTMULT_ACCUM (Iolr-Carnadh) IP Core…………………………………………………………………………… 61
10.1. Gnéithe…………………………………………………………………………………………….. 62 10.2. Fréamhshamhail Verilog HDL……………………………………………………………………………..62 10.3. Dearbhú Comhpháirte VHDL……………………………………………………………………………………… 63 10.4. LEABHARLANN VHDL_ÚSÁID Dearbhú………………………………………………………………………………………… 63 10.5. Calafoirt……………………………………………………………………………………………. 63 10.6. Paraiméadair ………………………………………………………………………………………. 64
11. ALTMULT_ADD (Iolrú-Snáthoir) IP Croí…………………………………………………………..69
11.1. Gnéithe…………………………………………………………………………………………….. 71 11.2. Fréamhshamhail Verilog HDL……………………………………………………………………………..72 11.3. Dearbhú Comhpháirte VHDL…………………………………………………………………… 72 11.4. LEABHARLANN VHDL_ÚSÁID Dearbhú…………………………………………………………………………………………72
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 3
Clár ábhair
11.5. Calafoirt……………………………………………………………………………………………. 72 11.6. Paraiméadair ………………………………………………………………………………………. 73
12. ALTMULT_COMPLEX (Iolraitheoir Coimpléascach) Croí IP…………………………………………………………………………… 86 12.1. Iolrú Coimpléascach…………………………………………………………………………. 86 12.2. Ionadaíocht Chanónach………………………………………………………………………… 87 12.3. Ionadaíocht Ghnáthaimh ………………………………………………………………………………………. 87 12.4. Gnéithe…………………………………………………………………………………………….. 88 12.5. Fréamhshamhail Verilog HDL……………………………………………………………………………..88 12.6. Dearbhú Comhpháirte VHDL……………………………………………………………………………………… 89 12.7. LEABHARLANN VHDL_ÚSÁID Dearbhú………………………………………………………………………………………… 89. Comhartha ……………………………………………………………………………………………. 12.8 89. Paraiméadair ………………………………………………………………………………………. 12.9
13. ALTSQRT (Fréamh Chearnóg Slánuimhir) Croílár IP ……………………………………………………………………………………… 92 13.1. Gnéithe…………………………………………………………………………………………….. 92 13.2. Fréamhshamhail Verilog HDL……………………………………………………………………………..92 13.3. Dearbhú Comhpháirte VHDL……………………………………………………………………………………… 93 13.4. LEABHARLANN VHDL_ÚSÁID Dearbhú………………………………………………………………………………………… 93. Calafoirt……………………………………………………………………………………………. 13.5 93. Paraiméadair ………………………………………………………………………………………. 13.6
14. PARALLEL_ADD ( Adder Comhuaineach) Croílár IP………………………………………………………….. 95 14.1. Gné…………………………………………………………………………………………………………………….95 14.2. Fréamhshamhail Verilog HDL……………………………………………………………………………..95 14.3. Dearbhú Comhpháirte VHDL……………………………………………………………………………………… 96 14.4. LEABHARLANN VHDL_ÚSÁID Dearbhú………………………………………………………………………………………… 96 14.5. Calafoirt……………………………………………………………………………………………. 96 14.6. Paraiméadair ………………………………………………………………………………………. 97
15. Slánuimhir Croí IP Uimhríochtúil Treoir Úsáideora Cartlann na nDoiciméad………………………………… 98
16. Stair Athbhreithnithe Doiciméid le haghaidh Treoir Úsáideora Intel FPGA Arithmetic IP Cores…. 99
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 4
Seol Aiseolas
683490 | 2020.10.05 Seol Aiseolas
1. Intel FPGA Slánuimhir Croíthe IP Arithmetic
Is féidir leat croíláir IP slánuimhir Intel® FPGA a úsáid chun oibríochtaí matamaitice a dhéanamh i do dhearadh.
Tairgeann na feidhmeanna seo sintéis loighce níos éifeachtaí agus cur i bhfeidhm feiste ná do chuid feidhmeanna féin a chódú. Is féidir leat na croíleacáin IP a shaincheapadh chun freastal ar do riachtanais dearaidh.
Tá croíthe IP uimhríochtúil slánuimhir Intel roinnte ina dhá chatagóir seo a leanas: · Leabharlann na modúl paraiméadaraithe (LPM) croíthe IP · Croí IP Intel-shonracha (ALT)
Liostaíonn an tábla seo a leanas na croíthe IP uimhríochtúil slánuimhir.
Tábla 1 .
Liosta de na Cores IP
Cores IP
Cores IP LPM
LPM_COUNTER
LPM_DIVIDE
LPM_MULT
LPM_ADD_SUB
LPM_COMPARE
Cores IP Intel-shonracha (ALT) ALTECC
Feidhm Tharview Iolraitheoir Cuntar-Roinne
Cumadóir nó dealaitheoir Comparáideoir
Ionchódóir/Díchódóir ECC
Gléas Tacaithe
Arria® II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone® IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP,
Intel Cyclone 10 GX, MAX® II, MAX V, MAX 10, Stratix® IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
Cyclone V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
Cyclone V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Cyclone IV E, Ciorcón IV GX, Ciorcón V, Intel Cyclone 10 LP, MAX 10, MAX
II, MAX V, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Cyclone IV E, Ciorcón IV GX, Ciorcón V, Intel Cyclone 10 LP, MAX 10, MAX
II, MAX V, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
Cyclone V,Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, Stratix IV, Stratix V ar lean…
Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a aontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí. *Féadtar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.
ISO 9001:2015 Cláraithe
1. Intel FPGA Arithmetic IP Cores 683490 | 2020.10.05. XNUMX
IP Cores Intel FPGA Iolraigh Adder nó ALTERA_MULT_ADD ALTEMEMMULT
ALTMULT_ACCUM ALTMULT_ADD ALTMULT_COMPLEX
ALTSQRT
PARALLEL_ADD
Feidhm Tharview Iolraitheoir-Adder
Iolraitheoir Comhéifeacht Tairiseach atá bunaithe ar Chuimhne
Iolraitheoir-Taisc-Iolraitheoir-Adder
Iolraitheoir Coimpléasc
Slánuimhir Cearnóg-Root
Adder Comhuaineach
Gléas Tacaithe
Arria V, Stratix V, Cyclone V, Intel Stratix 10, Intel Arria 10, Intel Cyclone
10 GX
Arria II GX, Arria II GZ, Arria V, Intel Arria 10 (Intel Quartus® Príomh-Eagrán Caighdeánach), Cyclone IV E, Cyclone IV GX, Cyclone V, Intel
Cioclon 10 LP, MAX II, MAX V, MAX 10, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Cyclone IV E, Cyclone IV GX, Intel Cyclone 10 LP, MAX 10, MAX II, MAX V, Stratix IV
Arria II GX, Arria II GZ, Cyclone IV E, Ciorcón IV GX,Intel Cyclone 10 LP, MAX 10, MAX II, MAX V, Stratix IV
Arria II GX, Arria II GZ, Intel Arria 10, Arria V, Arria V GZ, Cyclone IV E, Ciorcón IV GX, Cioclone V, Intel
Cyclone 10 GX, Intel Cyclone 10 LP, MAX 10, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
Cyclone V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
Cyclone V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, Stratix IV, Stratix V
Eolas Gaolmhar
· Nótaí Eisiúna FPGAanna Intel agus Gléasanna Ríomhchláraithe
· Réamhrá le Intel FPGA IP Cores Soláthraíonn sé tuilleadh faisnéise faoi Intel FPGA IP Cores.
· Treoir Úsáideora Cores IP Snámhphointe Soláthraíonn sé tuilleadh faisnéise faoi chroíláir IP Snámhphointe Intel FPGA.
· Réamhrá le Intel FPGA IP Cores Soláthraíonn sé faisnéis ghinearálta faoi gach croí Intel FPGA IP, lena n-áirítear parameterizing, giniúint, uasghrádú, agus insamhladh cores IP.
· Ag Cruthú Scripteanna Insamhladh IP Leagan Neamhspleách agus Qsys Cruthaigh scripteanna ionsamhlúcháin nach dteastaíonn nuashonruithe láimhe uathu le haghaidh bogearraí nó uasghrádú leagan IP.
· Treoirlínte Dea-Chleachtais um Bainistíocht Tionscadal maidir le bainistíocht éifeachtach agus iniomparthacht do thionscadal agus do IP files.
· Croíanna IP Uimhríochta Slánuimhir Cartlann na nDoiciméad Treoraithe Úsáideora ar leathanach 98 Soláthraíonn sé liosta de na treoracha úsáideora le haghaidh leaganacha roimhe seo de na croíleacaí IP Uimhríochtúil Slánuimhir.
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 6
Seol Aiseolas
683490 | 2020.10.05 Seol Aiseolas
2. LPM_COUNTER (Counter) IP Core
Fíor 1 .
Is cuntar dénártha é an croí LPM_COUNTER IP a chruthaíonn cuntair suas, síos cuntair agus cuntair suas nó síos le haschuir suas le 256 giotán ar leithead.
Taispeánann an figiúr seo a leanas na poirt don chroí LPM_COUNTER IP.
LPM_COUNTER Poirt
LPM_COUNTER
ssclr sonraí sset sload[]
q[]
suas síos
cout
aclr aload sócmhainn
clk_ga cnt_ga cin
inst
2.1. Gnéithe
Cuireann croí LPM_COUNTER IP na gnéithe seo a leanas ar fáil: · Gineann cuntair suas, síos, agus suas/síos · Gintear na cineálacha áiritheoirí seo a leanas:
— Dénártha simplí – incrimintí an chuntair ag tosú ó nialas nó laghduithe ag tosú ó 255
— Modulus – méadaíonn an cuntar go dtí an luach modulus arna shonrú ag an úsáideoir nó laghdaítear é uaidh agus athuair
· Tacaíonn sé le calafoirt roghnacha roghnacha soiléire, lódála agus socraithe ionchuir sioncrónacha · Tacaíonn sé le calafoirt ionchuir roghnacha soiléire, lódála agus socraithe · Tacaíonn sé le cumas comhaireamh roghnach agus cumasaíonn clog calafoirt ionchuir · Tacaíonn sé le calafoirt roghnacha seolta isteach agus seolta
Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a aontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí. *Féadtar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.
ISO 9001:2015 Cláraithe
2. LPM_COUNTER (Counter) IP Core
683490 | 2020.10.05
2.2. Fréamhshamhail Verilog HDL
Tá an fhréamhshamhail Verilog HDL seo a leanas suite sa Verilog Design File (.v) lpm.v sa eolaire edasynthesis.
modúl lpm_counter ( q, sonraí, clog, cin, cout, clk_en, cnt_en, updown, aset, aclr, aload, sset, sclr, sload, eq ); paraiméadar lpm_type = "lpm_counter"; paraiméadar lpm_width = 1; paraiméadar lpm_modulus = 0; paraiméadar lpm_direction = “UNÚSÁID”; paraiméadar lpm_avalue = “UNÚSÁID”; paraiméadar lpm_svalue = “UNÚSÁID”; paraiméadar lpm_pvalue = “UNÚSÁID”; paraiméadar lpm_port_updown = “PORT_CONNECTIVITY”; paraiméadar lpm_hint = “UNÚSÁID”; aschur [lpm_width-1:0] q; cuas aschuir; aschur [15:0] eq; cin ionchuir; ionchur [lpm_width-1:0] sonraí; clog ionchuir, clk_en, cnt_en, suas síos; sócmhainn ionchuir, aclr, aload; ionchur sset, sclr, sload; endmodúl
2.3. Dearbhú Comhpháirt VHDL
Tá dearbhú comhpháirte VHDL suite sa Dearadh VHDL File (.vhd) LPM_PACK.vhd sa eolaire leabharlanna vhdllpm.
comhpháirt LPM_COUNTER cineálach ( LPM_WIDTH : nádúrtha; LPM_MODULUS : nádúrtha := 0; LPM_DIRECTION : teaghrán := "UNUSED"; LPM_AVALUE : teaghrán := "UNÚSÁID"; LPM_SVALUE : teaghrán := "UNUSED"; LPM_PORT_CONIVITY: teaghrán := "UNUSED"; LPM_PORT_UPDOWN: ; LPM_PVALUE : teaghrán := “UNUSED” ; port (SONRAÍ : i std_logic_vector(LPM_WIDTH-1 síos go 0):= (OTHERS =>
'0'); CLOCK : in std_logic ; CLK_EN : in std_logic := '1' ; CNT_EN : in std_logic := '1' ; UPDOWN : in std_logic := '1' ; SLOAD : in std_logic := '0'; SSET : in std_logic := '0'; SCLR : in std_logic := '0'; ALOAD : in std_logic := '0'; ASET : in std_logic := '0'; ACLR : in std_logic := '0'; CIN : in std_logic := '1'; COUT : out std_logic := '0'; Q : amach std_logic_vector(LPM_WIDTH-1 síos go 0); EQ : amach std_logic_vector(15 downto 0));
comhpháirt deiridh;
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 8
Seol Aiseolas
2. LPM_COUNTER (Counter) IP Core 683490 | 2020.10.05. XNUMX
2.4. VHDL LIBRARY_USE Dearbhú
Níl an dearbhú VHDL LEABHARLANN-ÚSÁID ag teastáil má úsáideann tú an Dearbhú Comhpháirt VHDL.
LEABHARLANN lpm; ÚSÁID lpm.lpm_components.all;
2.5. Calafoirt
Liostaíonn na táblaí seo a leanas na poirt ionchuir agus aschuir don chroí LPM_COUNTER IP.
Tábla 2 .
LPM_COUNTER Poirt Ionchuir
Ainm an Phoirt
Ag teastáil
Cur síos
sonraí[]
Níl
Ionchuir sonraí comhthreomhara chuig an gcuntar. Braitheann méid an phoirt ionchuir ar luach paraiméadar LPM_WIDTH.
clog
Tá
Ionchur clog dearfach-imeall-spreagtha.
clk_ga
Níl
Cumasaíonn clog ionchur chun gach gníomhaíocht sioncronach a chumasú. Má fhágtar ar lár é, is é 1 an luach réamhshocraithe.
cnt_ga
Níl
Cumasaigh Comhaireamh ionchur chun an comhaireamh a dhíchumasú nuair a dhearbhaítear é a bheith íseal gan cur isteach ar sload, sset nó sclr. Má fhágtar ar lár é, is é 1 an luach réamhshocraithe.
suas síos
Níl
Rialaíonn sé treo an chomhairimh. Nuair a dhearbhaítear ard (1), tá an treo comhairimh suas, agus nuair a dhearbhaítear íseal (0), tá an treo comhairimh síos. Má úsáidtear an paraiméadar LPM_DIRECTION, ní féidir an port suas síos a nascadh. Mura n-úsáidtear LPM_DIRECTION, tá an calafort aníos roghnach. Má fhágtar ar lár é, tá an luach réamhshocraithe suas (1).
cin
Níl
Tabhair isteach go dtí an giotán ordú íseal. Le haghaidh cuntair suas, is é iompar an ionchuir cin
comhionann le hiompar an ionchuir cnt_en. Má fhágtar ar lár é, is é 1 an luach réamhshocraithe
(VCC).
aclr
Níl
Ionchur soiléir asincrónach. Má úsáidtear agus má dhearbhaítear sócmhainn agus aclr araon, sáraíonn aclr sócmhainn. Má fhágtar ar lár é, is é 0 (míchumasaithe) an luach réamhshocraithe.
acmhainn
Níl
Ionchur tacair asincrónach. Sonraítear na haschuir q[] mar gach 1, nó go dtí an luach atá sonraithe ag an bparaiméadar LPM_AVALUE. Má úsáidtear agus má dhearbhaítear an calafort aclr araon, sáraíonn luach an chalafoirt aclr luach an chalafoirt sócmhainní. Má fhágtar ar lár é, is é 0 an luach réamhshocraithe, díchumasaithe.
luchtú
Níl
Ionchur ualaigh asincrónach a lódálann an cuntar go haisioncronach leis an luach ar an ionchur sonraí. Nuair a úsáidtear an calafort lódála, ní mór an port sonraí[] a nascadh. Má fhágtar ar lár é, is é 0 an luach réamhshocraithe, díchumasaithe.
sclr
Níl
Ionchur soiléir sioncrónach a ghlanann an cuntar ar an gcéad imeall clog gníomhach eile. Má úsáidtear agus má dhearbhaítear na calafoirt sset agus sclr araon, sáraíonn luach an chalafoirt sclr luach an chalafoirt sset. Má fhágtar ar lár é, is é 0 an luach réamhshocraithe, díchumasaithe.
sset
Níl
Ionchur tacair sioncrónach a shocraíonn an cuntar ar an gcéad imeall clog gníomhach eile. Sonraíonn sé luach na n-aschur q mar gach 1s, nó go dtí an luach atá sonraithe ag an bparaiméadar LPM_SVALUE. Má úsáidtear agus má dhearbhaítear na calafoirt sset agus sclr araon,
sáraíonn luach an chalafoirt sclr luach an chalafoirt sset. Má fhágtar ar lár é, is é 0 (díchumasaithe) an luach réamhshocraithe.
slad
Níl
Ionchur ualaigh sioncrónach a lódálann an cuntar le sonraí[] ar an gcéad imeall eile den chlog gníomhach. Nuair a úsáidtear an calafort slódála, ní mór an port sonraí[] a nascadh. Má fhágtar ar lár é, is é 0 (díchumasaithe) an luach réamhshocraithe.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 9
2. LPM_COUNTER (Counter) IP Core 683490 | 2020.10.05. XNUMX
Tábla 3 .
LPM_COUNTER Poirt Aschuir
Ainm an Phoirt
Ag teastáil
Cur síos
q[]
Níl
Aschur sonraí ón gcuntar. Braitheann méid an chalafoirt aschuir ar an
LPM_WIDTH luach paraiméadar. Ceachtar acu q[] nó ceann amháin ar a laghad de na poirt eq[15..0]
Ní mór a bheith ceangailte.
eq[15..0]
Níl
Aschur díchódaithe cuntar. Níl an port eq[15..0] inrochtana san eagarthóir paraiméadar toisc nach dtacaíonn an paraiméadar ach le AHDL.
Ní mór an port q[] nó an calafort eq[] a nascadh. Is féidir suas le c calafoirt eq a úsáid (0 <= c <= 15). Ní dhéantar ach na 16 luach comhairimh is ísle a dhíchódú. Nuair is é c an luach comhairimh, tá an t-aschur eqc ard (1). Le haghaidh example, nuair is é 0, eq0 = 1 an comhaireamh, nuair is é 1, eq1 = 1 an comhaireamh, agus nuair a bhíonn an comhaireamh 15, eq 15 = 1. Tá díchódú seachtrach ag teastáil le haghaidh aschur díchódaithe le haghaidh luachanna comhairimh de 16 nó níos mó. Tá na haschuir eq[15..0] asincrónach leis an aschur q[].
cout
Níl
Port iompair ghiotán MSB an chuntair. Is féidir é a úsáid chun nascadh le cuntar eile chun cuntar níos mó a chruthú.
2.6. Paraiméadair
Liostaíonn an tábla seo a leanas na paraiméadair don chroí LPM_COUNTER IP.
Tábla 4 .
LPM_COUNTER Paraiméadair
Ainm Paraiméadar
Cineál
LPM_WIDTH
Slánuimhir
LPM_DIRECTION
Teaghrán
LPM_MODULUS LPM_AVALUE
Slánuimhir
Slánuimhir/ Teaghrán
LPM_SVALUE LPM_HINT
Slánuimhir/ Teaghrán
Teaghrán
LPM_TYPE
Teaghrán
Ag Teastáil Tá Níl Ní hea
Ní hea
Níl
Cur síos
Sonraíonn sé leithead na bport sonraí[] agus q[], má úsáidtear iad.
Tá luachanna UP, SÍOS, agus NEAMHÚSÁID. Má úsáidtear an paraiméadar LPM_DIRECTION, ní féidir an port suas síos a nascadh. Nuair nach bhfuil an port suas síos ceangailte, is é an luach réamhshocraithe paraiméadar LPM_DIRECTION ná UP.
An líon uasta, móide a haon. Líon na stát uathúla i dtimthriall an chuntar. Má tá an luach luchtaithe níos mó ná an paraiméadar LPM_MODULUS, ní shonraítear iompar an chuntar.
Luach tairiseach a luchtaítear nuair a dhearbhaítear an tsócmhainn ard. Má tá an luach sonraithe níos mó ná nó cothrom le , is leibhéal loighic neamhshainithe (X) é iompar an chuntar, áit a bhfuil is LPM_MODULUS é, má tá sé i láthair, nó 2 ^ LPM_WIDTH. Molann Intel duit an luach seo a shonrú mar uimhir dheachúil le haghaidh dearaí AHDL.
Luach seasmhach atá luchtaithe ar imeall ardú an chalafoirt clog nuair a dhearbhaítear an calafort sset ard. Molann Intel duit an luach seo a shonrú mar uimhir dheachúil le haghaidh dearaí AHDL.
Nuair a chuireann tú leabharlann de mhodúil paraiméadaraithe (LPM) ar an toirt a fheidhmíonn i nDearadh VHDL File (.vhd), ní mór duit an paraiméadar LPM_HINT a úsáid chun paraiméadar Intel-shonrach a shonrú. Le haghaidh example: LPM_HINT = “CHAIN_SIZE = 8, ONE_INPUT_IS_CONSTANT = TÁ”
Tá an luach réamhshocraithe UNUSED.
Aithníonn ainm aonáin leabharlann na modúl paraiméadaraithe (LPM) i ndearadh VHDL files.
ar lean…
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 10
Seol Aiseolas
2. LPM_COUNTER (Counter) IP Core 683490 | 2020.10.05. XNUMX
Ainm an pharaiméadar INTENDED_DEVICE_FAMILY CARRY_CNT_EN
LABWIDE_SCLR
LPM_PORT_UPDOWN
Cineál Teaghrán Teaghrán
Teaghrán
Teaghrán
Riachtanach Uimh
Níl
Níl
Cur síos
Úsáidtear an paraiméadar seo chun críocha samhaltú agus insamhalta iompraíochta. Úsáidtear an paraiméadar seo chun críocha samhaltú agus insamhalta iompraíochta. Ríomhann an t-eagarthóir paraiméadar an luach don pharaiméadar seo.
Paraiméadar Intel-sonrach. Ní mór duit an paraiméadar LPM_HINT a úsáid chun an paraiméadar CARRY_CNT_EN a shonrú i ndearadh VHDL files. Tá na luachanna SMART, AIR, AS, agus NEAMHÚSÁID. Cumasaíonn sé an fheidhm LPM_COUNTER chun an comhartha cnt_en a iomadú tríd an slabhra iompair. I gcásanna áirithe, d’fhéadfadh go mbeadh tionchar beag ag socrú na bparaiméadar CARRY_CNT_EN ar an luas, mar sin b’fhéidir gur mhaith leat é a mhúchadh. Is é SMART an luach réamhshocraithe, a sholáthraíonn an comhbhabhtáil is fearr idir méid agus luas.
Paraiméadar Intel-sonrach. Ní mór duit an paraiméadar LPM_HINT a úsáid chun an paraiméadar LABWIDE_SCLR a shonrú i ndearadh VHDL files. Tá na luachanna AR AIR, AS, nó NEAMHÚSÁID. Tá an luach réamhshocraithe ON. Ligeann sé duit úsáid na gné sclr LABwide atá le fáil i dteaghlaigh gléasanna atá imithe i léig a dhíchumasú. Má dhéantar an rogha seo a mhúchadh méadaítear an seans go n-úsáidfear na LABanna atá lánlíonta go hiomlán, agus mar sin d’fhéadfadh dlús loighce níos airde a cheadú nuair nach mbaineann SCLR le LAB iomlán. Tá an paraiméadar seo ar fáil le haghaidh comhoiriúnacht siar, agus molann Intel duit gan an paraiméadar seo a úsáid.
Sonraítear úsáid an chalafoirt ionchuir aníos. Má fhágtar ar lár é an luach réamhshocraithe PORT_CONNECTIVITY. Nuair a shocraítear luach an phoirt go PORT_USED, caitear leis an gcalafort mar a úsáidtear é. Nuair a shocraítear luach an phoirt go PORT_UNUSED, caitear leis an gcalafort mar phort neamhúsáidte. Nuair a shocraítear luach an phoirt go PORT_CONNECTIVITY, déantar úsáid an phoirt a chinneadh trí nascacht an phoirt a sheiceáil.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 11
683490 | 2020.10.05 Seol Aiseolas
3. LPM_DIVIDE (Rannánóir) Intel FPGA IP Core
Fíor 2 .
Cuireann croí LPM_DIVIDE Intel FPGA IP roinnteoir i bhfeidhm chun luach ionchuir uimhreora a roinnt ar luach ionchuir ainmneora chun comhrann agus fuílleach a tháirgeadh.
Taispeánann an figiúr seo a leanas na poirt don chroí LPM_DIVIDE IP.
Poirt LPM_DIVIDE
LPM_DIVIDE
uimhir[] ainm[] clog
comhrann[] fanann[]
clken aclr
inst
3.1. Gnéithe
Cuireann croí an LPM_DIVIDE IP na gnéithe seo a leanas ar fáil: · Gineann sé roinnteoir a roinneann luach ionchuir uimhreora ar ionchur ainmneora
luach chun comhrann agus fuílleach a tháirgeadh. · Tacaíonn leithead sonraí 1 giotán. · Tacaíonn sé le formáid ionadaíochta sonraí sínithe agus neamhshínithe don uimhreoir araon
agus luachanna ainmneora. · Tacaíocht limistéar nó leas iomlán a bhaint luas. · Soláthraíonn sé rogha chun aschur fuílleach dearfach a shonrú. · Tacaíochtaí latency aschuir configurable pipelining. · Tacaíonn soiléir asincrónach roghnach agus calafoirt chumasú clog.
3.2. Fréamhshamhail Verilog HDL
Tá an fhréamhshamhail Verilog HDL seo a leanas suite sa Verilog Design File (.v) lpm.v sa eolaire edasynthesis.
modúl lpm_divide ( comhrann, fanúint, uimhir, ainm, clog, clken, aclr); paraiméadar lpm_type = "lpm_divide"; paraiméadar lpm_widthn = 1 ; paraiméadar lpm_widthd = 1; paraiméadar lpm_nrepresentation = “UNSÍNITHE”; paraiméadar lpm_drepresentation = “UNSÍNITHE”; paraiméadar lpm_remainderpositive = "TRUE"; paraiméadar lpm_pipeline = 0 ;
Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a aontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí. *Féadtar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.
ISO 9001:2015 Cláraithe
3. LPM_DIVIDE (Roinnteoir) Intel FPGA IP Core 683490 | 2020.10.05. XNUMX
paraiméadar lpm_hint = “UNÚSÁID”; clog ionchuir; ionchur clken; ionchur aclr; ionchur [lpm_widthn-1:0] uimhir; ionchur [lpm_widthd-1:0] ainm; aschur [lpm_widthn-1:0] comhrann; fós aschur [lpm_widthd-1:0]; endmodúl
3.3. Dearbhú Comhpháirt VHDL
Tá dearbhú comhpháirte VHDL suite sa Dearadh VHDL File (.vhd) LPM_PACK.vhd sa eolaire leabharlanna vhdllpm.
comhpháirt LPM_DIVIDE cineálach (LPM_WIDTHN : nádúrtha; LPM_WIDTHD : nádúrtha;
LPM_NREPRESENTATION : teaghrán := “UNSIGNED”; LPM_DREPRESENTATION : teaghrán := “UNSÍNITHE”; LPM_PIPELINE : nádúrtha := 0; LPM_TYPE : teaghrán := L_DIVIDE ; LPM_HINT : teaghrán := “UNUSED”); port (NUMER : in std_logic_vector(LPM_WIDTHN-1 downto 0); DENOM : in std_logic_vector(LPM_WIDTHD-1 downto 0); ACLR : in std_logic := '0'; CLOCK : in std_logic := '0'; CLKEN : in std := '1'; QUOTIENT : amach std_logic_vector(LPM_WIDTHN-1 síos go 0); comhpháirt deiridh;
3.4. VHDL LIBRARY_USE Dearbhú
Níl an dearbhú VHDL LEABHARLANN-ÚSÁID ag teastáil má úsáideann tú an Dearbhú Comhpháirt VHDL.
LEABHARLANN lpm; ÚSÁID lpm.lpm_components.all;
3.5. Calafoirt
Liostaíonn na táblaí seo a leanas na calafoirt ionchuir agus aschuir don chroí LPM_DIVIDE IP.
Tábla 5 .
Poirt Ionchuir LPM_DIVIDE
Ainm an Phoirt
Ag teastáil
uimhir[]
Tá
ainmfhocal[]
Tá
Cur síos
Ionchuir sonraí uimhreora. Braitheann méid an phoirt ionchuir ar luach paraiméadar LPM_WIDTHN.
Ionchur sonraí ainmneora. Braitheann méid an chalafoirt ionchuir ar luach paraiméadar LPM_WIDTHD.
ar lean…
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 13
3. LPM_DIVIDE (Roinnteoir) Intel FPGA IP Core 683490 | 2020.10.05. XNUMX
Clog Ainm an Phoirt clken
aclr
Riachtanach Uimh
Níl
Cur síos
Ionchur clog le haghaidh úsáide píblíne. Le haghaidh luachanna LPM_PIPELINE seachas 0 (réamhshocraithe), ní mór an port cloig a bheith cumasaithe.
Cumasaíonn clog úsáid phíblíneáilte. Nuair a dhearbhaítear an calafort clken ard, tarlaíonn an oibríocht roinnte. Nuair a bhíonn an comhartha íseal, ní tharlaíonn aon oibríocht. Má fhágtar ar lár é, is é 1 an luach réamhshocraithe.
Calafort soiléir asincrónach a úsáidtear ag am ar bith chun an phíblíne a athshocrú go dtí gach '0 go neamhshioncronach chuig an ionchur clog.
Tábla 6 .
Poirt Aschuir LPM_DIVIDE
Ainm an Phoirt
Ag teastáil
Cur síos
comhrann[]
Tá
Aschur sonraí. Braitheann méid an phoirt aschuir ar an LPM_WIDTHN
luach paraiméadar.
fan[]
Tá
Aschur sonraí. Braitheann méid an chalafoirt aschuir ar an LPM_WIDTHD
luach paraiméadar.
3.6. Paraiméadair
Liostaíonn an tábla seo a leanas na paraiméadair do chroílár IP LPM_DIVIDE Intel FPGA IP.
Ainm Paraiméadar
Cineál
Ag teastáil
Cur síos
LPM_WIDTHN
Slánuimhir
Tá
Sonraíonn sé leithead na huimhreach[] agus
calafoirt chomhrann[]. Is iad na luachanna ná 1 go 64.
LPM_WIDTHD
Slánuimhir
Tá
Sonraíonn sé leithead an ainmn[] agus
fan[] poirt. Is iad na luachanna ná 1 go 64.
LPM_NREPRESENTATION LPM_DREPRESENTATION
String Teaghrán
Níl
Léiriú comhartha ar ionchur an uimhreora.
Tá luachanna SÍnithe agus GAN SÍNIÚ. Nuair seo
socraítear paraiméadar go SÍNITHE, an roinnteoir
léirmhíníonn an t-ionchur uimhir[] mar dhá ionchur sínithe
comhlánú.
Níl
Léiriú comhartha ar ionchur an ainmneora.
Tá luachanna SÍnithe agus GAN SÍNIÚ. Nuair seo
socraítear paraiméadar go SÍNITHE, an roinnteoir
léirmhíníonn an t-ionchur ainm[] mar dhá ionchur sínithe
comhlánú.
LPM_TYPE
Teaghrán
Níl
Aithníonn leabharlann na parameterized
modúil (LPM) ainm aonáin i ndearadh VHDL
files (.vhd).
LPM_HINT
Teaghrán
Níl
Nuair a chuireann tú leabharlann ar an toirt
feidhm modúil paraiméadaraithe (LPM) in a
Dearadh VHDL File (.vhd), caithfidh tú úsáid a bhaint as an
LPM_HINT paraiméadar chun Intel-
paraiméadar ar leith. Le haghaidh example: LPM_HINT
= “CHAIN_SIZE = 8,
ONE_INPUT_IS_CONSTANT = TÁ” An
tá an luach réamhshocraithe UNUSED.
LPM_REMAINDERPOSITIVE
Teaghrán
Níl
Paraiméadar Intel-sonrach. Caithfidh tú úsáid a bhaint as an
LPM_HINT paraiméadar chun an
LPM_REMAINDERPOSITIVE paraiméadar isteach
Dearadh VHDL files. Tá luachanna FÍOR nó BRÉAGACH.
Má tá an paraiméadar seo socraithe go TRUE, ansin beidh an
ní mór luach an phoirt iarsmaí[] a bheith níos airde
ar lean…
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 14
Seol Aiseolas
3. LPM_DIVIDE (Roinnteoir) Intel FPGA IP Core 683490 | 2020.10.05. XNUMX
Ainm Paraiméadar
Cineál
MAXIMIZE_SPEED
Slánuimhir
LPM_PIPELINE
Slánuimhir
INTENDED_DEVICE_FAMILY SKIP_BITS
Slánuimhir Teaghrán
Riachtanach Uimh
Níl Ní hea
Cur síos
ná nó cothrom le nialas. Má tá an paraiméadar seo socraithe go TRUE, is é náid luach an phoirt iarsmaí[], nó is é an comhartha céanna an luach, deimhneach nó diúltach, agus luach an phoirt uimhriúil. D'fhonn achar a laghdú agus luas a fheabhsú, molann Intel an paraiméadar seo a shocrú go TRUE in oibríochtaí ina gcaithfidh an chuid eile a bheith dearfach nó nuair nach bhfuil tábhacht leis an gcuid eile.
Paraiméadar Intel-sonrach. Ní mór duit an paraiméadar LPM_HINT a úsáid chun an paraiméadar MAXIMIZE_SPEED a shonrú i ndearadh VHDL files. Is iad na luachanna ná [0..9]. Má úsáidtear iad, déanann bogearraí Intel Quartus Prime iarracht sampla sonrach den fheidhm LPM_DIVIDE a bharrfheabhsú le haghaidh luas seachas ró-rutability, agus sáraíonn sé socrú na rogha loighic Teicníc Optamaithe. Mura n-úsáidtear MAXIMIZE_SPEED, úsáidtear luach an rogha Teicníc bharrfheabhsaithe ina ionad sin. Má tá luach MAXIMIZE_SPEED 6 nó níos airde, déanann an Tiomsaitheoir croí an LPM_DIVIDE IP a bharrfheabhsú le haghaidh luas níos airde trí úsáid a bhaint as slabhraí iompair; má tá an luach 5 nó níos lú, cuireann an tiomsaitheoir an dearadh gan slabhraí iompair i bhfeidhm.
Sonraítear leis seo líon na dtimthriallta cloig de fhola a bhaineann leis na haschuir chomhrann[] agus fanann[]. Léiríonn luach nialasach (0) nach bhfuil aon fholaigh ann, agus go bhfuil feidhm chomhcheangail amháin á meandú. Má fhágtar ar lár é, is é 0 (neamhphíblíne) an luach réamhshocraithe. Ní féidir leat luach a shonrú don pharaiméadar LPM_PIPELINE atá níos airde ná LPM_WIDTHN.
Úsáidtear an paraiméadar seo chun críocha samhaltú agus insamhalta iompraíochta. Ríomhann an t-eagarthóir paraiméadar an luach don pharaiméadar seo.
Ligeann sé do roinnt giotán codánach níos éifeachtaí chun an loighic a bharrfheabhsú ar na príomhghiotáin trí líon an GND tosaigh a sholáthar do chroílár IP LPM_DIVIDE. Sonraigh líon an GND tosaigh ar an aschur comhrann chuig an bparaiméadar seo.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 15
683490 | 2020.10.05 Seol Aiseolas
4. LPM_MULT (Iolraitheoir) IP Core
Fíor 3 .
Cuireann croí LPM_MULT IP iolraitheoir i bhfeidhm chun dhá luach sonraí ionchuir a iolrú chun táirge a tháirgeadh mar aschur.
Taispeánann an figiúr seo a leanas na calafoirt don chroí LPM_MULT IP.
LPM_Iolphoirt
LPM_MULT sonraí cloig[] toradh[] datab[] aclr/sclr clken
inst
Gnéithe Faisnéise Gaolmhara ar leathanach 71
4.1. Gnéithe
Cuireann croí LPM_MULT IP na gnéithe seo a leanas ar fáil: · Gineann iolraitheoir a iolraíonn dhá luach sonraí ionchuir · Tacaíonn sé le leithead sonraí 1 giotán · Tacaíonn sé le formáid ionadaíochta sonraí sínithe agus neamhshínithe · Tacaíonn sé le huasmhéadú limistéir nó luais · Tacaíonn sé le píblíneáil le latency aschuir inchumraithe · Soláthraíonn sé rogha le cur chun feidhme i bpróiseáil comhartha digiteach tiomnaithe (DSP)
bloc eilimintí ciorcaid nó loighic (LEs) Nóta: Agus iolraitheoirí níos mó ná an méid tacaithe dúchais á dtógáil féadfaidh/
tionchar feidhmíochta a bheidh ann de bharr cascáidiú na mbloic DSP. · Tacaíonn sé le soiléir asincrónach roghnach agus cumasaíonn clog calafoirt ionchuir · Tacaíonn sé le soiléir sioncrónach roghnach le haghaidh feistí Intel Stratix 10, Intel Arria 10 agus Intel Cyclone 10 GX
Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a aontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí. *Féadtar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.
ISO 9001:2015 Cláraithe
4. LPM_MULT (Iolraitheoir) IP Core 683490 | 2020.10.05. XNUMX
4.2. Fréamhshamhail Verilog HDL
Tá an fhréamhshamhail Verilog HDL seo a leanas suite sa Verilog Design File (.v) lpm.v sa eolaire edasynthesis.
modúl lpm_mult (toradh, sonraí, datab, suim, clog, clken, aclr ) paraiméadar lpm_type = “lpm_mult”; paraiméadar lpm_widtha = 1; paraiméadar lpm_widthb = 1; paraiméadar lpm_widths = 1; paraiméadar lpm_widthp = 1; paraiméadar lpm_representation = “UNSÍNITHE”; paraiméadar lpm_pipeline = 0; paraiméadar lpm_hint = “UNÚSÁID”; clog ionchuir; ionchur clken; ionchur aclr; ionchur [lpm_widtha-1:0] sonraí; ionchur [lpm_widthb-1:0] datab; ionchur [lpm_widths-1:0] suim; aschur [lpm_widthp-1:0] toradh; endmodúl
4.3. Dearbhú Comhpháirt VHDL
Tá dearbhú comhpháirte VHDL suite sa Dearadh VHDL File (.vhd) LPM_PACK.vhd sa eolaire leabharlanna vhdllpm.
comhpháirt LPM_MULT cineálach ( LPM_WIDTHA : nádúrtha; LPM_WIDTHB : nádúrtha; LPM_WIDTHS : nádúrtha := 1; LPM_WIDTHP : nádúrtha;
LPM_REPRESENTATION : teaghrán := “UNSÍNITHE”; LPM_PIPELINE : nádúrtha := 0; LPM_TYPE : teaghrán := L_MULT ; LPM_HINT : teaghrán := “UNUSED”); port ( DATAA : in std_logic_vector(LPM_WIDTHA-1 downto 0); DATAB : in std_logic_vector(LPM_WIDTHB-1 downto 0); ACLR : in std_logic := '0'; CLOCK : in std_logic := '0'; CLKEN : in std := '1'; SUM : in std_logic_vector(LPM_WIDTHS-1 downto 0) := (OTHERS => '0'); comhpháirt deiridh;
4.4. VHDL LIBRARY_USE Dearbhú
Níl an dearbhú VHDL LEABHARLANN-ÚSÁID ag teastáil má úsáideann tú an Dearbhú Comhpháirt VHDL.
LEABHARLANN lpm; ÚSÁID lpm.lpm_components.all;
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 17
4. LPM_MULT (Iolraitheoir) IP Core 683490 | 2020.10.05. XNUMX
4.5. Comharthaí
Tábla 7 .
Comharthaí Ionchuir LPM_MULT
Ainm Comhartha
Ag teastáil
Cur síos
sonraí[]
Tá
Ionchur sonraí.
Maidir le feistí Intel Stratix 10, Intel Arria 10, agus Intel Cyclone 10 GX, braitheann méid an chomhartha ionchuir ar luach paraiméadar leithead Dataa.
I gcás feistí níos sine agus Intel Cyclone 10 LP, braitheann méid an chomhartha ionchuir ar luach paraiméadar LPM_WIDTHA.
sonraí[]
Tá
Ionchur sonraí.
Maidir le feistí Intel Stratix 10, Intel Arria 10, agus Intel Cyclone 10 GX, braitheann méid an chomhartha ionchuir ar luach paraiméadar leithead Datab.
I gcás feistí níos sine agus Intel Cyclone 10 LP, braitheann méid an chomhartha ionchuir
ar luach paraiméadar LPM_WIDTHB.
clog
Níl
Ionchur clog le haghaidh úsáide píblíne.
I gcás feistí níos sine agus feistí Intel Cyclone 10 LP, ní mór an comhartha clog a chumasú le haghaidh luachanna LPM_PIPELINE seachas 0 (réamhshocraithe).
Maidir le feistí Intel Stratix 10, Intel Arria 10, agus Intel Cyclone 10 GX, ní mór an comhartha clog a chumasú má tá luach Latency seachas 1 (réamhshocraithe).
clken
Níl
Cumasú clog le haghaidh úsáide píblíne. Nuair a dhearbhaítear an comhartha clken ard, beidh an
déantar oibríocht addreora/ dealaitheora. Nuair a bhíonn an comhartha íseal, gan aon oibríocht
tharlaíonn. Má fhágtar ar lár é, is é 1 an luach réamhshocraithe.
aclr sclr
Níl
Comhartha soiléir asincrónach a úsáidtear am ar bith chun an phíblíne a athshocrú go dtí na 0anna go léir,
asincrónach leis an comhartha clog. Tosaíonn an phíblíne go dtí (X) neamhshainithe
leibhéal loighic. Is luach comhsheasmhach, ach neamh-nialas, iad na haschuir.
Níl
Comhartha soiléir sioncrónach a úsáidtear am ar bith chun an phíblíne a athshocrú go dtí gach 0s,
sioncronach leis an comhartha clog. Tosaíonn an phíblíne go dtí (X) neamhshainithe
leibhéal loighic. Is luach comhsheasmhach, ach neamh-nialas, iad na haschuir.
Tábla 8 .
LPM_MULT Comharthaí aschuir
comhartha Ainm
Ag teastáil
Cur síos
toradh[]
Tá
Aschur sonraí.
I gcás feistí níos sine agus Intel Cyclone 10 LP, braitheann méid an chomhartha aschuir ar luach paraiméadar LPM_WIDTHP. Más LPM_WIDTHP < uas (LPM_WIDTHA + LPM_WIDTHB, LPM_WIDTHS) nó (LPM_WIDTHA + LPM_WIDTHS), níl ach na MSBanna LPM_WIDTHP i láthair.
I gcás Intel Stratix 10, Intel Arria 10 agus Intel Cyclone 10 GX, braitheann méid na gcomharthaí aschuir ar pharaiméadar leithead an toraidh.
4.6. Paraiméadair le haghaidh Gléasanna Stratix V, Arria V, Cyclone V, agus Intel Cyclone 10 LP
4.6.1. Cluaisín Ginearálta
Tábla 9 .
Cluaisín Ginearálta
Paraiméadar
Luach
Cumraíocht Iolraitheora
Méadaigh ionchur 'dataa' faoi ionchur 'datab'
Luach Réamhshocraithe
Cur síos
Méadaigh ionchur 'dataa' faoi ionchur 'datab'
Roghnaigh an chumraíocht atá ag teastáil don iolraitheoir.
ar lean…
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 18
Seol Aiseolas
4. LPM_MULT (Iolraitheoir) IP Core 683490 | 2020.10.05. XNUMX
Paraiméadar
Cé chomh leathan is ceart an t-ionchur 'dataa' a bheith? Cé chomh leathan is ceart an t-ionchur 'sonraí' a bheith? Cén chaoi ar cheart leithead an aschuir 'toraidh' a chinneadh? Srian a chur ar an leithead
Luach
Iolraigh ionchur ‘dataa’ leis féin (oibríocht chearnaithe)
1 - 256 giotán
Luach Réamhshocraithe
Cur síos
8 giotán
Sonraigh leithead an phoirt dataa[].
1 - 256 giotán
8 giotán
Sonraigh leithead an phoirt datab[].
Ríomh an leithead go huathoibríoch Srian leis an leithead
1 - 512 giotán
Go huathoibríoch y ríomh an leithead
Roghnaigh an modh atá uait chun leithead phoirt an toraidh[] a chinneadh.
16 giotán
Sonraigh leithead phoirt an toraidh[].
Ní bheidh an luach seo éifeachtach ach amháin má roghnaíonn tú Srian leis an leithead sa pharaiméadar Cineál.
4.6.2. Ginearálta 2 Tab
Tábla 10. Ginearálta 2 Tab
Paraiméadar
Luach
Ionchur Sonraí
An bhfuil luach tairiseach ag an mbus ionchuir 'sonraí'?
Níl Tá
Cineál Iolrú
Cén cineál
Gan síniú
iolrú ar mhaith leat? Sínithe
Cur i bhfeidhm
Cén cur chun feidhme iolraitheoir ba cheart a úsáid?
Bain úsáid as an gcur i bhfeidhm réamhshocraithe
Úsáid an ciorcadra tiomnaithe iolraitheoir (Níl sé ar fáil do gach teaghlach)
Bain úsáid as gnéithe loighic
Luach Réamhshocraithe
Cur síos
Níl
Roghnaigh Tá chun luach tairiseach an
bus ionchuir `datab', más ann dó.
Gan síniú
Sonraigh an fhormáid ionadaíochta le haghaidh ionchuir dataa[] agus datab[] araon.
Úsáid an t-ian feidhmiúcháin réamhshocraithe
Roghnaigh an modh atá uait chun leithead phoirt an toraidh[] a chinneadh.
4.6.3. Cluaisín Píblíne
Tábla 11. Píblíne Cluaisín
Paraiméadar
Ar mhaith leat an Uimh
feidhm?
Tá
Luach
Cruthaigh 'aclr'
—
calafort soiléir asincrónach
Luach Réamhshocraithe
Cur síos
Níl
Roghnaigh Tá chun clár píblíne a chumasú chuig an
iolraitheora ar aschur agus sonraigh an inmhianaithe
latency aschuir sa timthriall clog. Ag cur ar chumas an
clár píblíne cuireann latency breise leis an
aschur.
Gan seiceáil
Roghnaigh an rogha seo le cur ar chumas aclr port soiléir asincrónach a úsáid don chlár píblíne.
ar lean…
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 19
4. LPM_MULT (Iolraitheoir) IP Core 683490 | 2020.10.05. XNUMX
Paraiméadar
Cruthaigh clog cumasaithe ‘clken’
Optamú
Cén cineál leas iomlán a bhaint atá uait?
Luach -
Réimse Luas Réamhshocraithe
Luach Réamhshocraithe
Cur síos
Gan seiceáil
Sonraítear cumasú ardchlog gníomhach do phort clog chlár na bpíblíne
Réamhshocrú
Sonraigh an leas iomlán a bhaint atá ag teastáil don chroí IP.
Roghnaigh Réamhshocrú chun ligean do bhogearraí Intel Quartus Prime chun an leas iomlán a bhaint is fearr don chroí IP a chinneadh.
4.7. Paraiméadair le haghaidh Gléasanna Intel Stratix 10, Intel Arria 10, agus Intel Cyclone 10 GX
4.7.1. Cluaisín Ginearálta
Tábla 12. Cluaisín Ginearálta
Paraiméadar
Luach
Luach Réamhshocraithe
Cur síos
Cineál Cumraíochta Iolraitheora
Leithead Phoirt Sonraí
Méadaigh ionchur 'dataa' faoi ionchur 'datab'
Iolraigh ionchur ‘dataa’ leis féin (oibríocht chearnaithe)
Méadaigh ionchur 'dataa' faoi ionchur 'datab'
Roghnaigh an chumraíocht atá ag teastáil don iolraitheoir.
Leithead sonraí
1 - 256 giotán
8 giotán
Sonraigh leithead an phoirt dataa[].
Leithead sonraí
1 - 256 giotán
8 giotán
Sonraigh leithead an phoirt datab[].
Cén chaoi ar cheart leithead an aschuir 'toraidh' a chinneadh?
Cineál
Ríomh an leithead go huathoibríoch
Srian a chur ar an leithead
Go huathoibríoch y ríomh an leithead
Roghnaigh an modh atá uait chun leithead phoirt an toraidh[] a chinneadh.
Luach
1 - 512 giotán
16 giotán
Sonraigh leithead phoirt an toraidh[].
Ní bheidh an luach seo éifeachtach ach amháin má roghnaíonn tú Srian leis an leithead sa pharaiméadar Cineál.
Leithead an toraidh
1 - 512 giotán
—
Taispeánann sé leithead éifeachtach phoirt an toraidh[].
4.7.2. Ginearálta 2 Tab
Tábla 13. Ginearálta 2 Tab
Paraiméadar
Ionchur Sonraí
An bhfuil luach tairiseach ag an mbus ionchuir 'sonraí'?
Níl Tá
Luach
Luach Réamhshocraithe
Cur síos
Níl
Roghnaigh Tá chun luach tairiseach an
bus ionchuir `datab', más ann dó.
ar lean…
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 20
Seol Aiseolas
4. LPM_MULT (Iolraitheoir) IP Core 683490 | 2020.10.05. XNUMX
Paraiméadar
Luach
Luach
Aon luach ar mó é ná 0
Cineál Iolrú
Cén cineál
Gan síniú
iolrú ar mhaith leat? Sínithe
Stíl Forfheidhmithe
Cén cur chun feidhme iolraitheoir ba cheart a úsáid?
Bain úsáid as an gcur i bhfeidhm réamhshocraithe
Úsáid an ciorcadra iolraitheoir tiomnaithe
Bain úsáid as gnéithe loighic
Luach Réamhshocraithe
Cur síos
0
Sonraigh luach tairiseach an phoirt datab[].
Gan síniú
Sonraigh an fhormáid ionadaíochta le haghaidh ionchuir dataa[] agus datab[] araon.
Úsáid an t-ian feidhmiúcháin réamhshocraithe
Roghnaigh an modh atá uait chun leithead phoirt an toraidh[] a chinneadh.
4.7.3. Píblíneáil
Tábla 14. Píblíne Cluaisín
Paraiméadar
Luach
Ar mhaith leat an fheidhm a phíblíne?
Píblíne
Níl Tá
Cineál Comhartha Soiléir Latency
Aon luach ar mó é ná 0.
AMHÁIN ACLR SCLR
Cruthaigh clog 'clken'
—
chumasú clog
Cén cineál leas iomlán a bhaint atá uait?
Cineál
Réimse Luas Réamhshocraithe
Luach Réamhshocraithe
Cur síos
Níl 1 AON
—
Roghnaigh Tá chun clár píblíne a chumasú d'aschur an iolraitheora. Cuireann cumasú an chláir píblíne níos moille leis an aschur.
Sonraigh an latency aschuir inmhianaithe sa timthriall clog.
Sonraigh an cineál athshocraithe don chlár píblíne. Roghnaigh NÍL mura n-úsáideann tú aon chlár píblíne. Roghnaigh ACLR soiléir asincrónach a úsáid don chlár píblíne. Ginfidh sé seo port ACLR. Roghnaigh SCLR chun soiléir sioncrónach a úsáid don chlár píblíne. Ginfidh sé seo calafort SCLR.
Sonraítear cumasú ardchlog gníomhach do phort clog chlár na bpíblíne
Réamhshocrú
Sonraigh an leas iomlán a bhaint atá ag teastáil don chroí IP.
Roghnaigh Réamhshocrú chun ligean do bhogearraí Intel Quartus Prime chun an optiomization is fearr a chinneadh don chroí IP.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 21
683490 | 2020.10.05 Seol Aiseolas
5. LPM_ADD_SUB (Suisteoir/Subtractor)
Fíor 4 .
Ligeann croí an LPM_ADD_SUB IP duit breiseán nó dealaitheoir a chur i bhfeidhm chun tacair sonraí a shuimiú nó a dhealú chun aschur a tháirgeadh ina bhfuil suim nó difríocht na luachanna ionchuir.
Taispeánann an figiúr seo a leanas na calafoirt don chroí LPM_ADD_SUB IP.
Poirt LPM_ADD_SUB
LPM_ADD_SUB add_sub cin
sonraí[]
clog clken datab[] aclr
toradh[] overflow cout
inst
5.1. Gnéithe
Cuireann croí IP LPM_ADD_SUB na gnéithe seo a leanas ar fáil: · Gineann sé seodóir, dealaitheoir, agus seoltóir/dealaitheoir atá inchumraithe go dinimiciúil
feidhmeanna. · Tacaíonn leithead sonraí 1 giotán. · Tacaíonn sé le formáid ionadaíochta sonraí ar nós sínithe agus neamhshínithe. · Tacaíonn sé le tabhairt isteach roghnach (iasacht a fháil), soiléir asincrónach, agus cumasú clog
calafoirt ionchuir. · Tacaíonn sé le cur i gcrích roghnach (iasacht isteach) agus calafoirt aschuir thar maoil. · Sanntar ceachtar ceann de na busanna sonraí ionchuir do thairseach. · Tacaíochtaí píblíne le latency aschuir configurable.
Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a aontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí. *Féadtar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.
ISO 9001:2015 Cláraithe
5. LPM_ADD_SUB (Cuireoir/Subtractor) 683490 | 2020.10.05. XNUMX
5.2. Fréamhshamhail Verilog HDL
Tá an fhréamhshamhail Verilog HDL seo a leanas suite sa Verilog Design File (.v) lpm.v sa eolaire edasynthesis.
modúl lpm_add_sub (toradh, cout, thar maoil, add_sub, cin, dataa, datab, clog, clken, aclr ); paraiméadar lpm_type = "lpm_add_sub"; paraiméadar lpm_width = 1; paraiméadar lpm_direction = “UNÚSÁID”; paraiméadar lpm_representation = “SÍNITHE”; paraiméadar lpm_pipeline = 0 ; paraiméadar lpm_hint = “UNÚSÁID”; ionchur [lpm_width-1:0] dataa, datab; ionchur add_sub, cin; clog ionchuir; ionchur clken; ionchur aclr; aschur [lpm_width-1:0] toradh; aschuir cout, thar maoil; endmodúl
5.3. Dearbhú Comhpháirt VHDL
Tá dearbhú comhpháirte VHDL suite sa Dearadh VHDL File (.vhd) LPM_PACK.vhd sa eolaire leabharlanna vhdllpm.
comhpháirt LPM_ADD_SUB cineálach (LPM_WIDTH : nádúrtha;
LPM_DIRECTION : string := “UNUSED” ; LPM_REPRESENTATION : teaghrán := "SÍNITHE"; LPM_PIPELINE : nádúrtha := 0; LPM_TYPE : teaghrán := L_ADD_SUB ; LPM_HINT : teaghrán := “UNUSED”); port (DATAA : in std_logic_vector(LPM_WIDTH-1 downto 0); DATAB : in std_logic_vector(LPM_WIDTH-1 downto 0); ACLR : in std_logic := '0'; CLOCK : in std_logic := '0'; CLKEN : in std := '1'; CIN : in std_logic := 'Z'; ADD_SUB : in std_logic := '1'; comhpháirt deiridh;
5.4. VHDL LIBRARY_USE Dearbhú
Níl an dearbhú VHDL LEABHARLANN-ÚSÁID ag teastáil má úsáideann tú an Dearbhú Comhpháirt VHDL.
LEABHARLANN lpm; ÚSÁID lpm.lpm_components.all;
5.5. Calafoirt
Liostaíonn na táblaí seo a leanas na calafoirt ionchuir agus aschuir don chroí LPM_ADD_SUB IP.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 23
5. LPM_ADD_SUB (Cuireoir/Subtractor) 683490 | 2020.10.05. XNUMX
Tábla 15. Calafoirt Ionchuir Lárnach LPM_ADD_SUB IP
Ainm an Phoirt
Ag teastáil
Cur síos
cin
Níl
Tabhair isteach go dtí an giotán ordú íseal. I gcás oibríochtaí suimiúcháin, is é 0 an luach réamhshocraithe. Le haghaidh
oibríochtaí dealaithe, is é 1 an luach réamhshocraithe.
sonraí[]
Tá
Ionchur sonraí. Braitheann méid an phoirt ionchuir ar luach paraiméadar LPM_WIDTH.
sonraí[]
Tá
Ionchur sonraí. Braitheann méid an phoirt ionchuir ar luach paraiméadar LPM_WIDTH.
cuir_sub
Níl
Port ionchuir roghnach chun aistriú dinimiciúil a chumasú idir an suimitheoir agus an dealaitheoir
feidhmeanna. Má úsáidtear an paraiméadar LPM_DIRECTION, ní féidir add_sub a úsáid. Dá
fágtha ar lár, is é ADD an luach réamhshocraithe. Molann Intel go n-úsáideann tú an
LPM_DIRECTION paraiméadar chun oibriú na feidhme LPM_ADD_SUB a shonrú,
seachas tairiseach a shannadh don phort add_sub.
clog
Níl
Ionchur le haghaidh úsáide píblíne. Soláthraíonn an calafort clog an t-ionchur clog le haghaidh píblíne
oibríocht. I gcás luachanna LPM_PIPELINE seachas 0 (réamhshocraithe), ní mór an port cloig a bheith
cumasaithe.
clken
Níl
Cumasú clog le haghaidh úsáide píblíne. Nuair a dhearbhaítear an port clken ard, an adder/
tarlaíonn oibríocht dealaitheoir. Nuair a bhíonn an comhartha íseal, ní tharlaíonn aon oibríocht. Dá
fágtha ar lár, is é 1 an luach réamhshocraithe.
aclr
Níl
Aisioncrónach soiléir le haghaidh úsáide píblíne. Tosaíonn an phíblíne go dtí (X) neamhshainithe
leibhéal loighic. Is féidir an calafort aclr a úsáid ag am ar bith chun an phíblíne a athshocrú go dtí gach 0s,
asincrónach leis an comhartha clog.
Tábla 16. Calafoirt Aschuir Lárnach LPM_ADD_SUB IP
Ainm an Phoirt
Ag teastáil
Cur síos
toradh[]
Tá
Aschur sonraí. Braitheann méid an phoirt aschuir ar an bparaiméadar LPM_WIDTH
luach.
cout
Níl
An giotán is suntasaí (MSB) a chur i gcrích (iasacht a thabhairt isteach). Tá fisiciúil ag an gcalafort cout
léirmhíniú mar chur i gcrích (iasacht isteach) an MSB. Aimsíonn an port cout
ró-shreabhadh in oibríochtaí GAN Síniú. Feidhmíonn an calafort cout ar an mbealach céanna le haghaidh
Oibríochtaí SÍnithe agus GAN SÍNITHE.
thar maoil
Níl
Aschur eisceachta thar maoil roghnach. Tá léiriú fisiciúil ag an gcalafort thar maoil mar
an XOR den iompar isteach chuig an MSB le seoladh an MSB. An port thar maoil
nuair a sháraíonn torthaí an cruinneas atá ar fáil, agus ní úsáidtear é ach amháin nuair a bhíonn an
Tá luach paraiméadar LPM_REPRESENTATION SÍNITHE.
5.6. Paraiméadair
Liostaíonn an tábla seo a leanas na paraiméadair lárnacha LPM_ADD_SUB IP.
Tábla 17. LPM_ADD_SUB IP Core Paraiméadair
Ainm an pharaiméadar LPM_WIDTH
Cineál Slánuimhir
Ag Teastáil Tá
Cur síos
Sonraítear leithead na bport dataa[], datab[], agus toradh[].
LPM_DIRECTION
Teaghrán
Níl
Is iad na luachanna ADD, FO, agus NEAMHÚSÁID. Má fhágtar ar lár é, is é DEFAULT an luach réamhshocraithe, rud a stiúrann don pharaiméadar a luach a thógáil ón bport add_sub. Ní féidir an port add_sub a úsáid má úsáidtear LPM_DIRECTION. Molann Intel duit an paraiméadar LPM_DIRECTION a úsáid chun oibriú na feidhme LPM_ADD_SUB a shonrú, seachas tairiseach a shannadh don phort add_sub.
ar lean…
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 24
Seol Aiseolas
5. LPM_ADD_SUB (Cuireoir/Subtractor) 683490 | 2020.10.05. XNUMX
Ainm an pharaiméadar LPM_REPRESENTATION LPM_PIPELINE LPM_HINT LPM_TYPE ONE_INPUT_IS_CONSTANT MAXIMIZE_SPEED
INTENDED_DEVICE_FAMILY
Cineál Teaghrán Slánuimhir Teaghrán Slánuimhir Teaghrán Teaghrán
Teaghrán
Ag Teastáil Ní hea Ní hea Ní hea
Níl
Cur síos
Sonraítear an cineál suimiúcháin a dhéantar. Tá luachanna SÍnithe agus GAN SÍNIÚ. Má fhágtar ar lár é, SÍNÍODH an luach réamhshocraithe. Nuair a shocraítear an paraiméadar seo mar SÍNIÚ, léirmhíníonn an suimitheoir/teoltóir an t-ionchur sonraí mar chomhlánú dhá shíniú.
Sonraítear líon na dtimthriallta cloig fhola a bhaineann leis an aschur toraidh[]. Léiríonn luach nialasach (0) nach bhfuil aon fholaigh ann, agus gur feidhm chomhcheangailte amháin a cheanglófar é. Má fhágtar ar lár é, is é 0 (neamhphíblíne) an luach réamhshocraithe.
Ligeann sé duit paraiméadair Intel-shonracha a shonrú i ndearadh VHDL files (.vhd). Tá an luach réamhshocraithe UNUSED.
Aithníonn ainm aonáin leabharlann na modúl paraiméadaraithe (LPM) i ndearadh VHDL files.
Paraiméadar Intel-sonrach. Ní mór duit an paraiméadar LPM_HINT a úsáid chun an paraiméadar ONE_INPUT_IS_CONSTANT i ndearadh VHDL a shonrú files. Tá luachanna TÁ, NÍL, agus NEAMHÚSÁID. Soláthraíonn sé barrfheabhsú níos mó má bhíonn ionchur amháin seasmhach. Má fhágtar ar lár é, is é NÍL an luach réamhshocraithe.
Paraiméadar Intel-sonrach. Ní mór duit an paraiméadar LPM_HINT a úsáid chun an paraiméadar MAXIMIZE_SPEED a shonrú i ndearadh VHDL files. Is féidir leat luach idir 0 agus 10 a shonrú. Má úsáidtear é, déanann bogearraí Intel Quartus Prime iarracht sampla sonrach den fheidhm LPM_ADD_SUB a bharrfheabhsú le haghaidh luais seachas ró-rutability, agus sáraíonn sé socrú na rogha loighic Teicníc Optamaithe. Mura n-úsáidtear MAXIMIZE_SPEED, úsáidtear luach an rogha Teicníc bharrfheabhsaithe ina ionad sin. Más é 6 nó níos airde an socrú do MAXIMIZE_SPEED, déanann an Tiomsaitheoir croí an LPM_ADD_SUB IP a bharrfheabhsú le haghaidh luas níos airde ag baint úsáide as slabhraí iompair; má tá an suíomh 5 nó níos lú, cuireann an Tiomsaitheoir an dearadh gan slabhraí iompair i bhfeidhm. Ní mór an paraiméadar seo a shonrú le haghaidh feistí Cyclone, Stratix, agus Stratix GX ach amháin nuair nach n-úsáidtear an port add_sub.
Úsáidtear an paraiméadar seo chun críocha samhaltú agus insamhalta iompraíochta. Ríomhann an t-eagarthóir paraiméadar an luach don pharaiméadar seo.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 25
683490 | 2020.10.05 Seol Aiseolas
6. LPM_COMPARE (Comparator)
Fíor 5 .
Déanann croí LPM_COMPARE IP comparáid idir luach dhá thacar sonraí chun an gaol eatarthu a chinneadh. Ina fhoirm is simplí, is féidir leat geata eisiach-NÓ a úsáid chun a chinneadh an bhfuil dhá ghiotán sonraí comhionann.
Taispeánann an figiúr seo a leanas na calafoirt don chroí LPM_COMPARE IP.
LPM_COMPARE Poirt
LPM_COMPARE
clken
alba
aeb
sonraí[]
agb
sonraí[]
aois
clog
anab
aclr
ailb
inst
6.1. Gnéithe
Cuireann croí IP LPM_COMPARE na gnéithe seo a leanas ar fáil: · Gineann sé feidhm chomparáide chun dhá thacar sonraí a chur i gcomparáid · Tacaíonn sé le leithead sonraí 1 giotán · Tacaíonn sé le formáid ionadaíochta sonraí amhail sínithe agus neamhshínithe · Táirgeann sé na cineálacha aschuir seo a leanas:
— alb (tá ionchur A níos lú ná ionchur B) — aeb (tá ionchur A cothrom le hionchur B) — agb (is mó ionchur A ná ionchur B) — aois (tá ionchur A níos mó ná nó cothrom le hionchur B) — aneb ( níl ionchur A comhionann le hionchur B) — aleb (tá ionchur A níos lú ná nó cothrom le hionchur B) · Tacaíonn sé le soiléir asincrónach roghnach agus cumasaíonn clog poirt ionchuir · Sannann sé ionchur an datab[] go tairiseach · Tacaíonn sé le píblíneáil le foighne aschuir inchumraithe
Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a aontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí. *Féadtar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.
ISO 9001:2015 Cláraithe
6. LPM_COMPARE (Comparator) 683490 | 2020.10.05. XNUMX
6.2. Fréamhshamhail Verilog HDL
Tá an fhréamhshamhail Verilog HDL seo a leanas suite sa Verilog Design File (.v) lpm.v sa eolaire edasynthesis.
modúl lpm_compare ( alb, aeb, agb, aleb, aneb, aois, sonraí, datab, clog, clken, aclr ); paraiméadar lpm_type = "lpm_compare"; paraiméadar lpm_width = 1; paraiméadar lpm_representation = “UNSÍNITHE”; paraiméadar lpm_pipeline = 0 ; paraiméadar lpm_hint = “UNÚSÁID”; ionchur [lpm_width-1:0] dataa, datab; clog ionchuir; ionchur clken; ionchur aclr; aschur alb, aeb, agb, aleb, aneb, aoiseb; endmodúl
6.3. Dearbhú Comhpháirt VHDL
Tá dearbhú comhpháirte VHDL suite sa Dearadh VHDL File (.vhd) LPM_PACK.vhd sa eolaire leabharlanna vhdllpm.
comhpháirt LPM_COMPARE cineálach (LPM_WIDTH : nádúrtha;
LPM_REPRESENTATION : teaghrán := “UNSÍNITHE”; LPM_PIPELINE : nádúrtha := 0; LPM_TYPE : teaghrán := L_COMPARE ; LPM_HINT : teaghrán := “UNUSED”); port (DATAA : in std_logic_vector(LPM_WIDTH-1 downto 0); DATAB : in std_logic_vector(LPM_WIDTH-1 downto 0); ACLR : in std_logic := '0'; CLOCK : in std_logic := '0'; CLKEN : in std := '1'; AGB : amach std_logic; comhpháirt deiridh;
6.4. VHDL LIBRARY_USE Dearbhú
Níl an dearbhú VHDL LEABHARLANN-ÚSÁID ag teastáil má úsáideann tú an Dearbhú Comhpháirt VHDL.
LEABHARLANN lpm; ÚSÁID lpm.lpm_components.all;
6.5. Calafoirt
Liostaíonn na táblaí seo a leanas na calafoirt ionchuir agus aschuir don chroí LMP_COMPARE IP.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 27
6. LPM_COMPARE (Comparator) 683490 | 2020.10.05. XNUMX
Tábla 18. Calafoirt Ionchuir lárnacha LPM_COMPARE IP
Ainm an Phoirt
Ag teastáil
Cur síos
sonraí[]
Tá
Ionchur sonraí. Braitheann méid an phoirt ionchuir ar luach paraiméadar LPM_WIDTH.
sonraí[]
Tá
Ionchur sonraí. Braitheann méid an phoirt ionchuir ar luach paraiméadar LPM_WIDTH.
clog
Níl
Ionchur clog le haghaidh úsáide píblíne. Soláthraíonn an calafort clog an t-ionchur clog le haghaidh píblíne
oibríocht. I gcás luachanna LPM_PIPELINE seachas 0 (réamhshocraithe), ní mór an port cloig a bheith
cumasaithe.
clken
Níl
Cumasú clog le haghaidh úsáide píblíne. Nuair a dhearbhaítear an port clken ard, beidh an
déantar oibríocht chomparáide. Nuair a bhíonn an comhartha íseal, ní tharlaíonn aon oibríocht. Dá
fágtha ar lár, is é 1 an luach réamhshocraithe.
aclr
Níl
Aisioncrónach soiléir le haghaidh úsáide píblíne. Tosaíonn an phíblíne go dtí loighic neamhshainithe (X).
leibhéal. Is féidir an calafort aclr a úsáid ag am ar bith chun an phíblíne a athshocrú go dtí gach 0s,
asincrónach leis an comhartha clog.
Tábla 19. Calafoirt Aschuir lárnacha LPM_COMPARE IP
Ainm an Phoirt
Ag teastáil
Cur síos
alba
Níl
Port aschuir don chomparadóir. Dearbhaítear má tá ionchur A níos lú ná ionchur B.
aeb
Níl
Port aschuir don chomparadóir. Dearbhaítear an bhfuil ionchur A comhionann le hionchur B.
agb
Níl
Port aschuir don chomparadóir. Dearbhaítear má tá ionchur A níos mó ná ionchur B.
aois
Níl
Port aschuir don chomparadóir. Dearbhaítear an bhfuil ionchur A níos mó ná nó cothrom leis an ionchur
B.
anab
Níl
Port aschuir don chomparadóir. Dearbhaítear mura bhfuil ionchur A comhionann le hionchur B.
ailb
Níl
Port aschuir don chomparadóir. Dearbhaítear má tá ionchur A níos lú ná nó cothrom le hionchur B.
6.6. Paraiméadair
Liostaíonn an tábla seo a leanas na paraiméadair do chroílár LPM_COMPARE IP.
Tábla 20. LPM_COMPARE Paraiméadair lárnacha IP
Ainm Paraiméadar
Cineál
Ag teastáil
LPM_WIDTH
Slánuimhir Sea
LPM_REPRESENTATION
Teaghrán
Níl
LPM_PIPELINE
Slánuimhir Uimh
LPM_HINT
Teaghrán
Níl
Cur síos
Sonraíonn sé leithead na bport dataa[] agus datab[].
Sonraítear an cineál comparáide a dhéantar. Tá luachanna SÍnithe agus GAN SÍNIÚ. Má fhágtar ar lár é, tá an luach réamhshocraithe GAN SÍNIÚ. Nuair a shocraítear an luach paraiméadar seo go SÍNIÚ, léirmhíníonn an comparadóir an t-ionchur sonraí mar chomhlánú sínithe dhá.
Sonraítear líon na dtimthriallta cloig de latency a bhaineann leis an aschur alb, aeb, agb, ageb, aleb, nó aneb. Léiríonn luach nialasach (0) nach bhfuil aon fholaigh ann, agus gur feidhm chomhcheangailte amháin a cheanglófar é. Má fhágtar ar lár é, is é 0 (neamhphíblíne) an luach réamhshocraithe.
Ligeann sé duit paraiméadair Intel-shonracha a shonrú i ndearadh VHDL files (.vhd). Tá an luach réamhshocraithe UNUSED.
ar lean…
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 28
Seol Aiseolas
6. LPM_COMPARE (Comparator) 683490 | 2020.10.05. XNUMX
Ainm an pharaiméadar LPM_TYPE INTENDED_DEVICE_FAMILY
ONE_INPUT_IS_CONSTANT
Cineál Teaghrán Teaghrán
Teaghrán
Riachtanach Uimh
Níl
Cur síos
Aithníonn ainm aonáin leabharlann na modúl paraiméadaraithe (LPM) i ndearadh VHDL files.
Úsáidtear an paraiméadar seo chun críocha samhaltú agus insamhalta iompraíochta. Ríomhann an t-eagarthóir paraiméadar an luach don pharaiméadar seo.
Paraiméadar Intel-sonrach. Ní mór duit an paraiméadar LPM_HINT a úsáid chun an paraiméadar ONE_INPUT_IS_CONSTANT i ndearadh VHDL a shonrú files. Tá luachanna TÁ, NÍL, nó NEAMHÚSÁID. Soláthraíonn sé barrfheabhsú níos mó má bhíonn ionchur seasmhach. Má fhágtar ar lár é, is é NÍL an luach réamhshocraithe.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 29
683490 | 2020.10.05 Seol Aiseolas
7. ALTECC (Cód Ceartúcháin Earráide: Ionchódóir/Díchódóir) Croí IP
Fíor 6 .
Soláthraíonn Intel croí IP ATECC chun feidhmiúlacht ECC a chur i bhfeidhm. Aimsíonn ECC sonraí truaillithe a tharlaíonn ar thaobh an ghlacadóra le linn tarchur sonraí. Tá an modh ceartaithe earráide seo níos oiriúnaí do chásanna ina dtarlaíonn earráidí go randamach seachas i bpléasctha.
Aimsíonn an ECC earráidí tríd an bpróiseas ionchódaithe agus díchódaithe sonraí. Le haghaidh example, nuair a chuirtear an ECC i bhfeidhm in iarratas tarchuir, déantar sonraí a léitear ón bhfoinse a ionchódú sula seoltar chuig an nglacadóir iad. Is éard atá san aschur (códfhocal) ón ionchódóir na sonraí amha atá i gceangal le líon na ngiotán paireacht. Braitheann líon beacht na ngiotán paireacht atá i gceangal ar líon na ngiotán sna sonraí ionchuir. Tarchuirtear an códchód ginte chuig an gceann scríbe ansin.
Faigheann an glacadóir an códchód agus díchódaíonn sé é. Cinneann faisnéis a fhaigheann an díchódóir cibé an mbraitear earráid. Aimsíonn an díchódóir earráidí aon-ghiotán agus dúbailte, ach ní féidir leis ach earráidí aonghiotán a shocrú sna sonraí truaillithe. Is éard atá sa chineál seo ECC ná braite earráide dúbailte ceartúcháin earráide aonair (SECDED).
Is féidir leat feidhmeanna ionchódóra agus díchódóra chroílár IP ALTECC a chumrú. Ionchódaítear an t-ionchur sonraí chuig an ionchódóir chun códchód a ghiniúint ar meascán é den ionchur sonraí agus de na giotán paireacht ginte. Tarchuirtear an códchód ginte chuig an modúl díchódóra le haghaidh díchódaithe díreach sula sroicheann sé a bhloc ceann scríbe. Gineann an díchódóir veicteoir siondróm chun a chinneadh an bhfuil aon earráid sa chódchód faighte. Ní cheartaíonn an díchódóir na sonraí ach amháin má tá an earráid aonghiotán ó na giotán sonraí. Ní chuirtear comhartha ar bith má tá an earráid aonghiotán ó na giotán paireacht. Tá comharthaí bratach ag an díchódóir freisin chun stádas na sonraí a fuarthas agus an gníomh a rinne an díchódóir a thaispeáint, más ann dó.
Léiríonn na figiúirí seo a leanas na calafoirt do chroílár IP ALTECC.
Calafoirt Ionchódóra ALTECC
ALTECC_ENCODER
sonraí[]
q[]
clog
clog
aclr
inst
Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a aontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí. *Féadtar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.
ISO 9001:2015 Cláraithe
7. ALTECC (Cód Ceartúcháin Earráide: Ionchódóir/Díchódóir) IP Core 683490 | 2020.10.05. XNUMX
Fíor 7. Calafoirt Dhíchódóra ALTECC
ALTECC_DECODER
data[] clog clog
q[] err_detected err_corrected
earráid_marfach
aclr
inst
7.1. Gnéithe Ionchódóra ALTECC
Cuireann croí IP ionchódóra ALTECC na gnéithe seo a leanas ar fáil: · Feidhmíonn sé ionchódú sonraí ag baint úsáide as an scéim um Chódú Hamming · Tacaíonn sé le leithead sonraí 2 giotán · Tacaíonn sé le formáid ionadaíochta sonraí sínithe agus neamhshínithe · Tacaíocht píblíne le latency aschuir de cheachtar timthriall amháin nó dhó clog · Tacaíochtaí roghnach soiléir asincrónach agus calafoirt chumasú clog
Glacann croí IP ionchódóra ALTECC isteach agus ionchódaíonn sé na sonraí ag baint úsáide as an scéim Hamming Coding. Díorthaíonn an scéim um Chódú Hamming na giotán paireacht agus cuireann sé leis na sonraí bunaidh iad chun an códchód aschuir a tháirgeadh. Braitheann líon na ngiotán paireacht atá i gceangal ar leithead na sonraí.
Liostaíonn an tábla seo a leanas líon na ngiotán paireacht atá i gceangal le haghaidh raonta éagsúla leithid sonraí. Léiríonn colún Iomlán na Giotán líon iomlán na ngiotán sonraí ionchuir agus na ngiotán paireacht atá i gceangal leis.
Tábla 21 .
Líon Giotán Paireacht agus Focal Cóid de réir Leithead na Sonraí
Leithead Sonraí
Líon Giotán Paireacht
Giotán Iomlán (Focal Cóid)
2-4
3+1
6-8
5-11
4+1
10-16
12-26
5+1
18-32
27-57
6+1
34-64
58-64
7+1
66-72
Úsáideann an díorthú giotán paireacht seiceáil cothrom-paireacht. Cuirtear an 1 ghiotán breise (a thaispeántar sa tábla mar +1) i gceangal leis na giotán paireacht mar MSB an chóidfhocail. Cinntíonn sé seo go bhfuil uimhir chothrom 1 ag an gcódchód. Le haghaidh example, más é leithead na sonraí ná 4 ghiotán, cuirtear 4 ghiotán paireacht i gceangal leis na sonraí chun bheith ina gcódfhocal le 8 ngiotán san iomlán. Má tá corruimhir 7 ag 8 ngiotán ón LSB den chódchód 1 ngiotán, is é 8 an 1ú giotán (MSB) den chódchód, rud a fhágann go bhfuil líon iomlán na n-1 sa chódchód cothrom.
Taispeánann an figiúr seo a leanas an códfhocal ginte agus socrú na ngiotán paireacht agus na ngiotán sonraí in ionchur sonraí 8-giotán.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 31
7. ALTECC (Cód Ceartúcháin Earráide: Ionchódóir/Díchódóir) IP Core 683490 | 2020.10.05. XNUMX
Fíor 8 .
Socrú Giotán Paireacht agus Giotán Sonraí i bhFocal Cóid 8-Giotán Ginte
MSB
LSB
4 giotán paireacht
4 giotán sonraí
8
1
Ní ghlacann croí IP ionchódóra ALTECC ach leithead ionchuir de 2 go 64 giotán ag aon am amháin. Gineann leithead ionchuir 12 giotán, 29 giotán, agus 64 giotán, atá oiriúnach go foirfe do fheistí Intel, aschuir 18 giotán, 36 giotán, agus 72 giotán faoi seach. Is féidir leat an teorannú roghnúcháin giotán a rialú san eagarthóir paraiméadar.
7.2. Fréamhshamhail Verilog HDL (ALTECC_ENCODER)
Tá an fhréamhshamhail Verilog HDL seo a leanas suite sa Verilog Design File (.v) lpm.v sa eolaire edasynthesis.
modúl altecc_encoder #( parameter intended_device_family = "neamhúsáidte", paraiméadar lpm_pipeline = 0, paraiméadar width_codeword = 8, paraiméadar width_dataword = 8, paraiméadar lpm_type = “altecc_encoder”, paraiméadar lpm_hint = “neamhúsáidte”) (sreang ionchuir, aclr, ionchur clog sreang cloigín sreang, sreang ionchuir [width_dataword-1:0] sonraí, sreang aschuir [width_codeword-1:0] q); endmodúl
7.3. Fréamhshamhail Verilog HDL (ALTECC_DECODER)
Tá an fhréamhshamhail Verilog HDL seo a leanas suite sa Verilog Design File (.v) lpm.v sa eolaire edasynthesis.
modúl altecc_decoder #( parameter intended_device_family = "neamhúsáidte", paraiméadar lpm_pipeline = 0, paraiméadar width_codeword = 8, paraiméadar width_dataword = 8, paraiméadar lpm_type = “altecc_decoder”, paraiméadar lpm_hint = “neamhúsáidte”) (sreang ionchuir, aclr, ionchur clog sreang cloigín sreang, sreang ionchuir [width_codeword-1:0] sonraí, sreang aschuir err_corrected, sreang aschuir err_detected, sreang aschuir err_fatal, sreang aschuir [width_dataword-1:0] q); endmodúl
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 32
Seol Aiseolas
7. ALTECC (Cód Ceartúcháin Earráide: Ionchódóir/Díchódóir) IP Core 683490 | 2020.10.05. XNUMX
7.4. Dearbhú Comhpháirt VHDL (ALTECC_ENCODER)
Tá dearbhú comhpháirte VHDL suite sa Dearadh VHDL File (.vhd) altera_mf_components.vhd sa eolaire leabharlannavhdlaltera_mf.
comhpháirt altecc_encoder cineálach ( beartaithe_device_family: teaghrán := "gan úsáid"; lpm_pipeline: nádúrtha := 0; leithead_codeword: nádúrtha := 8; leithead_dataword: nádúrtha := 8; lpm_hint: teaghrán := "UNUSED"; lpm_type:string :=" ”); port( aclr: in std_logic := '0'; clog: i std_logic := '0'; clog: i std_logic := '1'; sonraí: i std_logic_vector(width_dataword-1 downto 0); q: amach std_logic_vector(width_codeword -1 síos go 0)); comhpháirt deiridh;
7.5. Dearbhú Comhpháirte VHDL (ALTECC_DECODER)
Tá dearbhú comhpháirte VHDL suite sa Dearadh VHDL File (.vhd) altera_mf_components.vhd sa eolaire leabharlannavhdlaltera_mf.
comhpháirt altecc_decoder cineálach ( beartaithe_device_family: teaghrán := "gan úsáid"; lpm_pipeline: nádúrtha := 0; leithead_codeword: nádúrtha := 8; leithead_dataword: nádúrtha := 8; lpm_hint: teaghrán := "UNUSED"; lpm_type: string := " ”); port( aclr: in std_logic := '0'; clog: i std_logic := '0'; clog: i std_logic := '1'; sonraí: i std_logic_vector(width_codeword-1 downto 0); err_corrected : amach std_logic; err_detected : amach std_logic; q: amach std_logic_vector(width_dataword-1 downto 0); comhpháirt deiridh;
7.6. VHDL LIBRARY_USE Dearbhú
Níl an dearbhú VHDL LEABHARLANN-ÚSÁID ag teastáil má úsáideann tú an Dearbhú Comhpháirt VHDL.
LEABHARLANN altera_mf; ÚSÁID altera_mf.altera_mf_components.all;
7.7. Calafoirt Ionchódóra
Liostaíonn na táblaí seo a leanas na calafoirt ionchuir agus aschuir do chroílár IP ionchódóra ALTECC.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 33
7. ALTECC (Cód Ceartúcháin Earráide: Ionchódóir/Díchódóir) IP Core 683490 | 2020.10.05. XNUMX
Tábla 22. Calafoirt Ionchódóra Ionchódóra ALTECC
Ainm an Phoirt
Ag teastáil
Cur síos
sonraí[]
Tá
Port ionchuir sonraí. Braitheann méid an phoirt ionchuir ar an WIDTH_DATAWORD
luach paraiméadar. Tá na sonraí amh atá le hionchódú sa phort sonraí[].
clog
Tá
Port ionchuir clog a sholáthraíonn an comhartha clog chun an oibríocht ionchódaithe a shioncrónú.
Tá an port cloig ag teastáil nuair is mó an luach LPM_PIPELINE ná 0.
clog
Níl
Clog chumasú. Má fhágtar ar lár é, is é 1 an luach réamhshocraithe.
aclr
Níl
Ionchur soiléir asincrónach. Is féidir an comhartha ard aclr gníomhach a úsáid ag am ar bith chun
na cláir a ghlanadh go neamhshioncronach.
Tábla 23. Calafoirt Aschuir Ionchódóra ATECC
Ainm an Phoirt q[]
Ag Teastáil Tá
Cur síos
Port aschuir sonraí ionchódaithe. Braitheann méid an phoirt aschuir ar luach paraiméadar WIDTH_CODEWORD.
7.8. Calafoirt díchódóra
Liostaíonn na táblaí seo a leanas na calafoirt ionchuir agus aschuir do chroílár IP díchódóra ALTECC.
Tábla 24. Calafoirt Ionchuir Díchódóra ALTECC
Ainm an Phoirt
Ag teastáil
Cur síos
sonraí[]
Tá
Port ionchuir sonraí. Braitheann méid an phoirt ionchuir ar luach paraiméadar WIDTH_CODEWORD.
clog
Tá
Port ionchuir clog a sholáthraíonn an comhartha clog chun an oibríocht ionchódaithe a shioncrónú. Tá an port cloig ag teastáil nuair is mó an luach LPM_PIPELINE ná 0.
clog
Níl
Clog chumasú. Má fhágtar ar lár é, is é 1 an luach réamhshocraithe.
aclr
Níl
Ionchur soiléir asincrónach. Is féidir an comhartha ard aclr gníomhach a úsáid ag am ar bith chun na cláir a ghlanadh go neamhshioncronach.
Tábla 25. Calafoirt Aschuir Díchódóra ALTECC
Ainm an Phoirt q[]
Ag Teastáil Tá
Cur síos
Port aschuir sonraí díchódaithe. Braitheann méid an phoirt aschuir ar luach paraiméadar WIDTH_DATAWORD.
err_detected Tá
Comhartha brataí chun stádas na sonraí a fuarthas a léiriú agus sonraítear aon earráidí a aimsíodh.
err_correcte Tá d
Comhartha brataí chun stádas na sonraí a fuarthas a léiriú. Léiríonn sé earráid aon-ghiotán a aimsíodh agus a ceartaíodh. Is féidir leat na sonraí a úsáid toisc go bhfuil siad ceartaithe cheana féin.
earráid_marfach
Tá
Comhartha brataí chun stádas na sonraí a fuarthas a léiriú. Seasann sé gur aimsíodh earráid dhúbailte, ach níor ceartaíodh é. Níor cheart duit na sonraí a úsáid má dhearbhaítear an comhartha seo.
sin_e
Níl
Comhartha aschuir a rachaidh ard nuair a bhraitear earráid aonghiotán ar an bpaireacht
giotán.
7.9. Paraiméadair Ionchódóra
Liostaíonn an tábla seo a leanas na paraiméadair do chroílár IP ionchódóra ALTECC.
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 34
Seol Aiseolas
7. ALTECC (Cód Ceartúcháin Earráide: Ionchódóir/Díchódóir) IP Core 683490 | 2020.10.05. XNUMX
Tábla 26. Paraiméadair Ionchódóra ALTECC
Ainm Paraiméadar
Cineál
Ag teastáil
Cur síos
WIDTH_DATAWORD
Slánuimhir Sea
Sonraítear leithead na sonraí amh. Tá na luachanna ó 2 go 64. Má fhágtar ar lár é, is é 8 an luach réamhshocraithe.
WIDTH_CODEWORD
Slánuimhir Sea
Sonraítear leithead an chóidfhocail chomhfhreagraigh. Tá na luachanna bailí ó 6 go 72, gan 9, 17, 33, agus 65 a áireamh. Má fhágtar ar lár iad, is é 13 an luach réamhshocraithe.
LPM_PIPELINE
Slánuimhir Uimh
Sonraíonn an píblíne don chiorcad. Tá na luachanna ó 0 go 2. Más é 0 an luach, níl na calafoirt cláraithe. Más é 1 an luach, cláraítear na calafoirt aschuir. Más é 2 an luach, cláraítear na calafoirt ionchuir agus aschuir. Má fhágtar ar lár é, is é 0 an luach réamhshocraithe.
7.10. Paraiméadair díchódóra
Liostaíonn an tábla seo a leanas na croí-pharaiméadair IP díchódóra ALTECC.
Tábla 27. Paraiméadair Díchódóra ALTECC
Ainm an pharaiméadar WIDTH_DATAWORD
Cineál Slánuimhir
Ag teastáil
Cur síos
Tá
Sonraítear leithead na sonraí amh. Is iad na luachanna ná 2 go 64. Tá an
Is é 8 an luach réamhshocraithe.
WIDTH_CODEWORD
Slánuimhir
Tá
Sonraítear leithead an chóidfhocail chomhfhreagraigh. Is é 6 luachanna
go 72, gan 9, 17, 33, agus 65 a áireamh. Má fhágtar ar lár é, an luach réamhshocraithe
tá 13.
LPM_PIPELINE
Slánuimhir
Níl
Sonraíonn clár an chuaird. Tá na luachanna ó 0 go 2. Má tá an
Is é luach 0, níl aon chlár i bhfeidhm. Más é 1 an luach, beidh an
tá aschur cláraithe. Más é 2 an luach, beidh an t-ionchur agus an
aschur atá cláraithe. Má tá an luach níos mó ná 2, breise
cuirtear cláir i bhfeidhm ag an aschur don bhreis
lachain. Má fhágtar ar lár é, is é 0 an luach réamhshocraithe.
Cruthaigh port 'syn_e'
Slánuimhir
Níl
Cuir an paraiméadar seo ar siúl chun port syn_e a chruthú.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 35
683490 | 2020.10.05 Seol Aiseolas
8. Intel FPGA Méadaigh Croí IP Adder
Fíor 9 .
Ceadaíonn croí IP Intel FPGA Multiply Adder (Intel Stratix 10, Intel Arria 10, agus Intel Cyclone 10 GX) nó ALTERA_MULT_ADD (feistí Arria V, Stratix V, agus Cyclone V) duit iolraitheoir-bhreiseoir a chur i bhfeidhm.
Taispeánann an figiúr seo a leanas na calafoirt don Intel FPGA Multiply Adder nó ALTERA_MULT_ADD IP croí.
Intel FPGA Iolraigh Adder nó ALTERA_MULT_ADD Poirt
Intel FPGA Iolraigh Adder nó ALTERA_MULT_ADD
dataa[] signa datab[] signb datac[] coefsel0[] coefsel1[] coefsel2[] coefsel3[] addnsub1 addnsub3 aclr/sclr[] scanina[] clog0 clog1 clog2 ena0 ena1 ena2 sload_accum
accum_sload chainin[]
scanouta[] toradh[]
aclr0 aclr1
inst
Glacann iolraitheoir-bhreiseoir péirí ionchuir, iolraíonn sé na luachanna le chéile agus ansin cuireann sé le táirgí na bpéirí eile go léir nó dealaíonn siad uathu.
Má tá leithead iomlán na sonraí ionchuir 9-giotán nó níos lú, úsáideann an fheidhm an chumraíocht iolraitheoir ionchuir 9 x 9 giotán sa bhloc DSP le haghaidh gléasanna a thacaíonn le cumraíocht 9 x 9. Mura bhfuil, úsáideann an bloc DSP iolraitheoirí ionchuir 18 × 18-giotán chun sonraí a phróiseáil le leithead idir 10 giotán agus 18 giotán. Má tharlaíonn sé go dtarlaíonn roinnt croíleacáin Intel FPGA Multiply Adder nó ALTERA_MULT_ADD i ndearadh, déantar na feidhmeanna a dháileadh mar
Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a aontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí. *Féadtar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.
ISO 9001:2015 Cláraithe
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
go leor bloic DSP éagsúla agus is féidir ionas go mbeidh ródú chuig na bloic seo níos solúbtha. Ligeann níos lú iolraitheoirí in aghaidh an bhloc DSP níos mó roghanna ródaithe isteach sa bhloc trí chosáin chuig an gcuid eile den fheiste a íoslaghdú.
Cuirtear na cláir agus na cláir phíblíne breise le haghaidh na gcomharthaí seo a leanas freisin laistigh den bhloc DSP: · Ionchur sonraí · Sínithe nó gan síniú roghnaigh · Cuir leis nó dealraigh roghnaigh · Táirgí iolraitheoirí
I gcás an toraidh aschuir, cuirtear an chéad chlár sa bhloc DSP. Mar sin féin, cuirtear na cláir fholaigh bhreise i ngnéithe loighce lasmuigh den bhloc. Forimeallach don bhloc DSP, lena n-áirítear ionchuir sonraí chuig an iolraitheoir, ionchuir chomhartha rialaithe, agus aschuir an addreora, bain úsáid as ródú rialta chun cumarsáid a dhéanamh leis an gcuid eile den fheiste. Úsáideann gach nasc san fheidhm ródú tiomnaithe laistigh den bhloc DSP. Áiríonn an ródú tiomnaithe seo na slabhraí clár sealaithe nuair a roghnaíonn tú an rogha chun sonraí ionchuir cláraithe an iolraitheora a aistriú ó iolraitheoir amháin go dtí iolraitheoir cóngarach.
Le haghaidh tuilleadh faisnéise faoi bhlocanna DSP in aon cheann de na sraitheanna gléas Stratix V, agus Arria V, féach ar an gcaibidil Bloic DSP de na lámhleabhair faoi seach ar an leathanach Litríochta agus Doiciméadúchán Teicniúil.
Eolas Gaolmhar AN 306: Iolraitheoirí a Chur i bhFeidhm i bhFeistí FPGA
Soláthraíonn sé tuilleadh faisnéise faoi iolraitheoirí a chur i bhfeidhm ag baint úsáide as DSP agus bloic chuimhne i bhfeistí Intel FPGA.
8.1. Gnéithe
Cuireann an Intel FPGA Multiply Adder nó ALTERA_MULT_ADD IP na gnéithe seo a leanas ar fáil: · Gineann sé iolraitheoir chun oibríochtaí iolrúcháin de dhá choimpléasc a dhéanamh
uimhreacha Tabhair faoi deara: Agus iolraitheoirí níos mó ná an méid tacaithe dúchais á dtógáil is féidir/
tionchar feidhmíochta a bheidh ann de bharr cascáidiú na mbloic DSP. · Tacaíonn sé le leithead sonraí 1 256 giotán · Tacaíonn sé le formáid ionadaíochta sonraí sínithe agus neamhshínithe · Tacaíonn sé le píblíneáil le latency ionchuir inchumraithe · Soláthraíonn sé rogha chun aistriú dinimiciúil idir tacaíocht sonraí sínithe agus neamhshínithe · Soláthraíonn sé rogha chun aistriú dinimiciúil idir oibríocht suimithe agus dealaithe · Tacaíochtaí Cumasaíonn soiléir agus clog asincrónach roghnach agus sioncrónach poirt ionchuir · Tacaíonn sé le modh clárúcháin moille systólach · Tacaíonn sé le réamhbhreiseoir le 8 gcomhéifeachtaí réamh-lódála in aghaidh an iolraitheora · Tacaíonn sé le tairiseach réamh-lódála mar chomhlánú ar aiseolas carntóra
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 37
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
8.1.1. Réamh- adder
Le réamh-nasc, déantar suimiúcháin nó dealú roimh bheathú an t-iolraitheoir.
Tá cúig mhodh réamhsheolta ann: · Mód simplí · Mód comhéifeachta · Modh ionchuir · Modh cearnach · Modh tairiseach
Nóta:
Nuair a úsáidtear réamhbhreoir (comhéifeacht réamh-bhreiseáin/modh ionchuir/cearnach), ní mór go mbeadh an socrú clog céanna ag gach ionchur sonraí chuig an iolraitheoir.
8.1.1.1. Mód Simplí Réamh-adder
Sa mhód seo, díorthaíonn an dá operands ó na poirt ionchuir agus ní úsáidtear nó ní sheachbhóthar an réamhbhreiseoir. Is é seo an modh réamhshocraithe.
Fíor 10. Mód Simplí Réamh-cuir leis
ag 0 b0
Il0
toradh
8.1.1.2. Mód Comhéifeacht Réamh- addr
Sa mhodh seo, díorthaíonn operand iolraitheoir amháin ón réamh-bhreiseoir, agus díorthaíonn an t-opernd eile ón stóráil comhéifeacht inmheánach. Ceadaíonn an stóráil chomhéifeacht suas le 8 tairisigh réamhshocraithe. Is iad na comharthaí roghnaithe comhéifeachta comhéifeacht[0..3].
Cuirtear an mód seo in iúl sa chothromóid seo a leanas.
Taispeánann an méid seo a leanas modh comhéifeacht réamh-bhreiseáin iolraitheoir.
Fíor 11. Mód Comhéifeacht Réamhchur
Creachadóir
a0
Il0
+/-
toradh
b0
coefsel0 coef
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 38
Seol Aiseolas
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
8.1.1.3. Mód Ionchuir Réamh-bhreiseora Sa mhód seo, díorthaíonn operand iolraitheoir amháin ón réamhbhreoir, agus díorthaíonn an t-oibreoir eile ón bport ionchuir datac[]. Cuirtear an mód seo in iúl sa chothromóid seo a leanas.
Taispeánann an méid seo a leanas modh ionchuir réamh-bhreiseora iolraitheoir.
Fíor 12. Mód Ionchuir Réamhbhreiseáin
ag 0 b0
Il0
+/-
toradh
c0
8.1.1.4. Mód Cearnógach Réamh-adder Cuirtear an mód seo in iúl sa chothromóid seo a leanas.
Taispeánann an méid seo a leanas modh cearnach réamh-bhreiseora dhá iolraitheoir.
Fíor 13. Mód Cearnóg Réamh- Adder
ag 0 b0
Il0
+/-
toradh
8.1.1.5. Mód Tairiseach Réamh-adder
Sa mhodh seo, díorthaíonn operand iolraitheoir amháin ón gcalafort ionchuir, agus díorthaíonn an t-opernd eile ón stóráil comhéifeacht inmheánach. Ceadaíonn an stóráil chomhéifeacht suas le 8 tairisigh réamhshocraithe. Is iad na comharthaí roghnaithe comhéifeachta comhéifeacht[0..3].
Cuirtear an mód seo in iúl sa chothromóid seo a leanas.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 39
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Taispeánann an figiúr seo a leanas mód tairiseach an réamhbhreiseáin d’iolraitheoir.
Figiúr 14. Mód Tairiseach Réamh-cuir leis
a0
Il0
toradh
coefsel0
cófra
8.1.2. Clár Moill Systolic
In ailtireacht systolic, cuirtear na sonraí ionchuir isteach i gcascáid de chláir a fheidhmíonn mar mhaolán sonraí. Seachadann gach clár ionchur sample iolraitheoir i gcás ina ndéantar é a iolrú faoin gcomhéifeacht faoi seach. Stórálann an addreoir slabhra na torthaí comhcheangailte de réir a chéile ón iolraitheoir agus an toradh a cláraíodh roimhe seo ón gcalafort ionchuir chainin[] chun an toradh deiridh a fhoirmiú. Ní mór timthriall amháin a chur siar ar gach eilimint iolraithe ionas go ndéanfar na torthaí a shioncronú go cuí nuair a chuirtear le chéile iad. Úsáidtear gach moill as a chéile chun aghaidh a thabhairt ar chomhéifeacht na cuimhne agus ar an maolán sonraí dá n-eilimintí iolraithe faoi seach. Le haghaidh example, moill amháin don dara heilimint suimithe iolrú, dhá mhoill don tríú heilimint iolraigh, agus mar sin de.
Fíor 15. Cláir Systolacha
Cláir systolic
x(t) c(0)
S -1
S -1
c(1)
S -1
S -1
c(2)
S -1
S -1
c(N-1)
S -1
S -1
S -1
S -1 y(t)
Léiríonn x(t) na torthaí ó shruth leanúnach ionchuir samples agus y(t)
is ionann é agus suim thacar ionchuir samples, agus in am, arna iolrú faoina gcuid
comhéifeachtaí faoi seach. Sreabhann na torthaí ionchuir agus aschuir ó chlé go deas. Seasann c(0) go c(N-1) na comhéifeachtaí. Tá na cláir moille systolic sainithe ag S-1, ach is ionann an 1 agus moill aonuaire. Cuirtear cláir moille systolic leis ag
na hionchuir agus aschuir le haghaidh píblíne ar bhealach a chinntíonn na torthaí ó na
iolraitheoir operand agus na suimeanna carntha fanacht i synch. An eilimint phróiseála seo
a mhacasamhlú chun ciorcad a fhoirmiú a ríomhann an fheidhm scagtha. Tá an fheidhm seo
arna shloinneadh sa chothromóid seo a leanas.
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 40
Seol Aiseolas
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Seasann N do líon na dtimthriallta sonraí a cuireadh isteach sa charnadóir, seasann y(t) don aschur ag am t, seasann A(t) don ionchur ag am t, agus is ionann B(i) agus na comhéifeachtaí. Freagraíonn an t agus i sa chothromóid do mheandar áirithe ama, mar sin chun an t-aschur s a ríomhample y(t) ag am t, grúpa ionchuir samples ag N pointí éagsúla ama, nó A(n), A(n-1), A(n-2), … A(n-N+1). Tá an grúpa ionchuir N sampiolraítear les faoi chomhéifeachtaí N agus déantar iad a achoimriú le chéile chun an toradh deiridh y a fhoirmiú.
Níl an ailtireacht clár systolic ar fáil ach amháin do mhodhanna suim-2 agus suim-de-4. I gcás an dá mhodh ailtireachta clár systolic, is gá an chéad chomhartha slabhrain a cheangal le 0.
Léiríonn an figiúr seo a leanas cur i bhfeidhm an chláir moille systolic de 2 iolraitheoir.
Fíor 16. Clár Moill Systolic Cur i bhFeidhm 2 Iolraitheoir
chainín
a0
Il0
+/-
b0
a1
Il1
+/-
b1
toradh
Sloinntear suim dhá iolraitheoir sa chothromóid seo a leanas.
Léiríonn an figiúr seo a leanas cur i bhfeidhm an chláir moille systolic de 4 iolraitheoir.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 41
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Fíor 17. Clár Moill Systolic Cur i bhFeidhm 4 Iolraitheoir
chainín
a0
Il0
+/-
b0
a1
Il1
+/-
b1
a2
Il2
+/-
b2
a3
Il3
+/-
b3
toradh
Sloinntear suim ceithre iolraitheoir sa chothromóid seo a leanas. Fíor 18. Suim 4 Iolraitheoir
Seo a leanas liosta de na advantages de chur i bhfeidhm an chláir systolic: · Laghdaíonn sé úsáid acmhainní an RCS · Cumasaítear mapáil éifeachtach sa bhloc DSP ag baint úsáide as struchtúr an tslabhra slabhra
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 42
Seol Aiseolas
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
8.1.3. Réamh-ualach tairiseach
Rialaíonn an tairiseach réamh-ualach an t-oibreoir carn agus comhlánaíonn sé aiseolas an charntóra. Réimsíonn an LOADCONST_VALUE bailí ó 0. Tá an luach tairiseach cothrom le 64N, áit a bhfuil N = LOADCONST_VALUE. Nuair a shocraítear an LOADCONST_VALUE go 2, is ionann an luach tairiseach agus 64. Is féidir an fheidhm seo a úsáid mar shlánú claonta.
Léiríonn an figiúr seo a leanas an cur i bhfeidhm tairiseach réamh-ualach.
Fíor 19. Tairiseach Réamh-ualach
Aiseolas carntóra
tairiseach
a0
Il0
+/-
b0
a1
Il1
+/b1
toradh
accum_sload sload_accum
Déan tagairt do na croíleacáin IP seo a leanas le haghaidh feidhmiúcháin iolraithe eile: · ALTMULT_ACCUM · ALTMEMMULT · LPM_MULT
8.1.4. Taisclann Dúbailte
Cuireann gné an charnóra dhúbailte clár breise i gcosán aiseolais an charntóra. Leanann an clár carntha dúbailte an clár aschuir, lena n-áirítear an clog, cumasú clog, agus aclr. Tá moill aon timthrialla mar thoradh ar thuairisceáin bhreise an chláir charntóra. Cuireann an ghné seo ar do chumas dhá chainéal carntha a bheith agat leis an líon céanna acmhainní.
Léiríonn an figiúr seo a leanas cur i bhfeidhm an charnaitheora dhúbailte.
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 43
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Fíor 20. Taisclann Dúbailte
Clár Cuntais Dúbailte
Accu aithriseoir aiseolas
a0
Il0
+/-
b0
a1
Il1
+/b1
Clár Aschuir Toradh Aschuir
8.2. Fréamhshamhail Verilog HDL
Is féidir leat fréamhshamhail Intel FPGA Multiply Adder nó ALTERA_MULT_ADD Verilog HDL a aimsiú file (altera_mult_add_rtl.v) sa eolaire megafeidhmeanna.
8.3. Dearbhú Comhpháirt VHDL
Tá an dearbhú comhpháirte VHDL suite san altera_lnsim_components.vhd sa leabharlanna vhdl altera_lnsim eolaire.
8.4. VHDL LIBRARY_USE Dearbhú
Níl an dearbhú VHDL LEABHARLANN-ÚSÁID ag teastáil má úsáideann tú an Dearbhú Comhpháirt VHDL.
LEABHARLANN altera_mf; ÚSÁID altera_mf.altera_mf_components.all;
8.5. Comharthaí
Liostaíonn na táblaí seo a leanas na comharthaí ionchuir agus aschuir de chroílár Iolrú Adder Intel FPGA IPor ALTERA_MULT_ADD IP.
Tábla 28. Méadaigh Comharthaí Ionchuir Intel FPGA IPor ALTERA_MULT_ADD Adder
Comhartha
Ag teastáil
Cur síos
sonraí_0[]/dataa_1[]/
Tá
sonraí_2[]/dataa_3[]
Ionchur sonraí chuig an iolraitheoir. Port ionchuir [NUMBER_OF_MULTIPLIERS * WIDTH_A – 1 … 0] ar leithead
ar lean…
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 44
Seol Aiseolas
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Sonraí comhartha_0[]/datab_1[]/ datab_2[]/datab_3[] datac_0[] /datac_1[]/ datac_2[]/datac_3[] clog[1:0] aclr[1:0] sclr[1:0] ena [1:0] comhartha
comharthab
scanina[] accum_sload
Ag Teastáil Tá Níl
Ní hea Ní hea
Níl
Ní hea
Cur síos
Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) do na comharthaí seo. Nuair a sholáthraíonn tú luach X do na comharthaí seo, iomadaítear an luach X ar na comharthaí aschuir.
Ionchur sonraí chuig an iolraitheoir. Comhartha ionchuir [NUMBER_OF_MULTIPLIERS * WIDTH_B – 1 … 0] ar leithead Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) do na comharthaí seo. Nuair a sholáthraíonn tú luach X do na comharthaí seo, iomadaítear an luach X ar na comharthaí aschuir.
Ionchur sonraí chuig an iolraitheoir. Comhartha ionchuir [NUMBER_OF_MULTIPLIERS * WIDTH_C – 1, … 0] ar leithead Roghnaigh INPUT le haghaidh Roghnaigh paraiméadar mód an réamhtheachtair chun na comharthaí seo a chumasú. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) do na comharthaí seo. Nuair a sholáthraíonn tú luach X do na comharthaí seo, iomadaítear an luach X ar na comharthaí aschuir.
Clog port ionchuir chuig an gclár comhfhreagrach. Is féidir an comhartha seo a úsáid ag aon chlár sa chroí IP. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) do na comharthaí seo. Nuair a sholáthraíonn tú luach X do na comharthaí seo, iomadaítear an luach X ar na comharthaí aschuir.
Ionchur soiléir asincrónach chuig an gclár comhfhreagrach. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) do na comharthaí seo. Nuair a sholáthraíonn tú luach X do na comharthaí seo, iomadaítear an luach X ar na comharthaí aschuir.
Ionchur soiléir sioncrónach chuig an gclár comhfhreagrach. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe X do na comharthaí seo. Nuair a sholáthraíonn tú luach X do na comharthaí seo, iomadaítear an luach X ar na comharthaí aschuir
Cumasaigh ionchur comhartha chuig an gclár comhfhreagrach. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) do na comharthaí seo. Nuair a sholáthraíonn tú luach X do na comharthaí seo, iomadaítear an luach X ar na comharthaí aschuir.
Sonraíonn sé léiriú uimhriúil ionchur an iolraitheora A. Má tá an comhartha signa ard, déileálann an t-iolraitheoir leis an ionchur iolraitheoir A comhartha mar uimhir shínithe. Má tá an comhartha signa íseal, déileálann an t-iolraitheoir leis an ionchur iolraitheoir comhartha A mar uimhir gan síniú. Roghnaigh ATHRÓG le haghaidh Cad é an fhormáid ionadaíochta le haghaidh Iolraitheoirí A paraiméadar ionchuir chun an comhartha seo a chumasú. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) don chomhartha seo. Nuair a sholáthraíonn tú luach X don ionchur seo, iomadaítear an luach X ar na comharthaí aschuir.
Sonraítear léiriú uimhriúil an chomhartha ionchuir B iolraitheora. Má tá an comhartha signb ard, déileálann an t-iolraitheoir leis an comhartha ionchuir B iolraitheora mar uimhir dhá chomhlánú sínithe. Má tá an comhartha signb íseal, déileálann an t-iolraitheoir le comhartha ionchuir B an iolraitheora mar uimhir gan síniú. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) don chomhartha seo. Nuair a sholáthraíonn tú luach X don ionchur seo, iomadaítear an luach X ar na comharthaí aschuir.
Ionchur don slabhra scanadh A. Comhartha ionchuir [WIDTH_A – 1, … 0] ar leithead. Nuair a bhíonn luach SCANA ag an bparaiméadar INPUT_SOURCE_A, beidh an comhartha scanina[] ag teastáil.
Sonraítear go dinimiciúil an bhfuil an luach carntha tairiseach. Má tá an comhartha accum_sload íseal, ansin tá an t-aschur iolraitheoir luchtaithe isteach sa accumulator. Ná húsáid accum_sload agus sload_accum ag an am céanna.
ar lean…
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 45
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Comhartha sload_accum
chainin[] addnsub1
addnsub3
coefsel0[] coefsel1[] coefsel2[] coefsel3[]
Riachtanach Uimh
Ní hea
Níl
Ní hea Ní hea
Cur síos
Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) don chomhartha seo. Nuair a sholáthraíonn tú luach X don ionchur seo, iomadaítear an luach X ar na comharthaí aschuir.
Sonraítear go dinimiciúil an bhfuil an luach carntha tairiseach. Má tá an comhartha sload_accum ard, ansin tá an t-aschur iolraitheoir luchtaithe isteach sa charnadóir. Ná húsáid accum_sload agus sload_accum ag an am céanna. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) don chomhartha seo. Nuair a sholáthraíonn tú luach X don ionchur seo, iomadaítear an luach X ar na comharthaí aschuir.
Bus ionchuir toradh adder ón s roimhe seotage. Comhartha ionchuir [WIDTH_CHAININ – 1, … 0] ar leithead.
Déan suimiú nó dealú leis na haschuir ón gcéad péire iolraitheoirí. Ionchur 1 go comhartha addnsub1 chun na haschuir ón gcéad péire iolraitheoirí a shuimiú. Ionchur 0 go comhartha addnsub1 chun na haschuir a dhealú ón gcéad péire iolraitheoirí. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) don chomhartha seo. Nuair a sholáthraíonn tú luach X don ionchur seo, iomadaítear an luach X ar na comharthaí aschuir.
Déan suimiú nó dealú leis na haschuir ón gcéad péire iolraitheoirí. Ionchur 1 go comhartha addnsub3 chun na haschuir ón dara péire iolraitheoirí a shuimiú. Ionchur 0 go comhartha addnsub3 chun na haschuir a dhealú ón gcéad péire iolraitheoirí. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) don chomhartha seo. Nuair a sholáthraíonn tú luach X don ionchur seo, iomadaítear an luach X ar na comharthaí aschuir.
Comhartha ionchuir[0:3] chuig an gcéad iolraitheoir. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) don chomhartha seo. Nuair a sholáthraíonn tú luach X don ionchur seo, iomadaítear an luach X ar na comharthaí aschuir.
Comhartha ionchuir[0:3] chuig an dara iolraitheoir. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) don chomhartha seo. Nuair a sholáthraíonn tú luach X don ionchur seo, iomadaítear an luach X ar na comharthaí aschuir.
Comhartha ionchuir[0:3] chuig an tríú iolraitheoir. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) don chomhartha seo. Nuair a sholáthraíonn tú luach X don ionchur seo, iomadaítear an luach X ar na comharthaí aschuir.
Comhartha ionchuir comhéifeacht [0:3] go dtí an ceathrú iolraitheoir. Tacaíonn an tsamhail insamhalta don IP seo le luach ionchuir neamhchinntithe (X) don chomhartha seo. Nuair a sholáthraíonn tú luach X don ionchur seo, iomadaítear an luach X ar na comharthaí aschuir.
Tábla 29. Méadaigh Comharthaí Aschuir Intel FPGA IP Adder
Comhartha
Ag teastáil
Cur síos
toradh []
Tá
Comhartha aschuir iolraitheoir. Comhartha aschuir [WIDTH_RESULT – 1 … 0] ar leithead
Tacaíonn an tsamhail insamhalta don IP seo le luach aschuir neamhchinntithe (X). Nuair a sholáthraíonn tú luach X mar ionchur, iomadaítear an luach X ar an gcomhartha seo.
scanouta []
Níl
Aschur slabhra scanadh A. Comhartha aschuir [WIDTH_A – 1..0] ar leithead.
Roghnaigh níos mó ná 2 le haghaidh líon na n-iolraitheoirí agus roghnaigh Scan ionchur slabhra le haghaidh Cad é ionchur A an iolraitheora atá nasctha le paraiméadar chun an comhartha seo a chumasú.
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 46
Seol Aiseolas
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
8.6. Paraiméadair
8.6.1. Cluaisín Ginearálta
Tábla 30. Cluaisín Ginearálta
Paraiméadar
Paraiméadar Ginte IP
Luach
Cad é líon na n-iolraitheoirí?
number_of_m 1 – 4 ultipliers
Cé chomh leathan ba chóir do bhusanna ionchuir A width_a a bheith?
1 – 256
Cé chomh leathan ba chóir na busanna ionchuir B width_b a bheith?
1 – 256
Cé chomh leathan ba chóir don bhus aschuir 'toraidh' a bheith?
leithead_toradh
1 – 256
Cruthaigh cumasú cloig gaolmhar do gach clog
gui_associate Ar d_clock_enabl Off e
8.6.2. Cluaisín Móid Breise
Tábla 31. Cluaisín Modhanna Breise
Paraiméadar
Paraiméadar Ginte IP
Luach
Cumraíocht Aschuir
Cláraigh aschur an aonaid addreora
gui_output_re Ar siúl
girseach
as
Cad é an fhoinse le haghaidh ionchur clog?
gui_aschur_re gister_clock
Clog0 Clog1 Clog2
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
gui_aschur_re gister_aclr
AON ACLR0 ACLR1
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach?
gui_aschur_re gister_sclr
AON SCLR0 SCLR1
Oibriú Adder
Cén oibríocht ba chóir a dhéanamh ar aschuir an chéad phéire iolraitheoirí?
gui_multiplier 1_direction
ADD, FO, ATHRAITHEACH
Luach Réamhshocraithe 1
16
Cur síos
Líon na n-iolraitheoirí atá le suimiú le chéile. Is iad na luachanna ná 1 go dtí 4. Sonraigh leithead an phoirt dataa[].
16
Sonraigh leithead an phoirt datab[].
32
Sonraigh leithead phoirt an toraidh[].
as
Roghnaigh an rogha seo chun cumasú clog a chruthú
do gach clog.
Luach Réamhshocraithe
Cur síos
As Clog0
ACH AON
Roghnaigh an rogha seo chun clár aschuir an mhodúil leis an mbreiseán a chumasú.
Roghnaigh Clock0 , Clock1 nó Clock2 le cumasú agus sonraigh an fhoinse cloig do chláir aschuir. Ní mór duit an t-aschur Cláraigh den aonad addreora a roghnú chun an paraiméadar seo a chumasú.
Sonraíonn sé an fhoinse soiléir asincrónach do chlár aschuir an bhreiseáin. Ní mór duit an t-aschur Cláraigh den aonad addreora a roghnú chun an paraiméadar seo a chumasú.
Sonraítear an fhoinse shioncrónach shoiléir do chlár aschuir an bhreiseáin. Ní mór duit an t-aschur Cláraigh den aonad addreora a roghnú chun an paraiméadar seo a chumasú.
ADD
Roghnaigh oibríocht suimithe nó dealaithe le feidhmiú do na haschuir idir an chéad iolraitheoir agus an dara iolraitheoir.
· Roghnaigh ADD chun oibríocht suimithe a dhéanamh.
· Roghnaigh SUB chun oibriú dealaithe a dhéanamh.
· Roghnaigh ATHRÓG chun port addnsub1 a úsáid le haghaidh suimiú/ dealú dinimiciúil a rialú.
ar lean…
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 47
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Paraiméadar
Paraiméadar Ginte IP
Luach
Cláraigh ionchur 'addnsub1'
gui_addnsub_ Ar multiplier_reg Off ister1
Cad é an fhoinse le haghaidh ionchur clog?
gui_addnsub_ iolraitheoir_reg ister1_clock
Clog0 Clog1 Clog2
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
gui_addnsub_ iolraitheoir_aclr 1
AON ACLR0 ACLR1
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach?
gui_addnsub_ iolraitheoir_sclr 1
AON SCLR0 SCLR1
Cén oibríocht ba chóir a dhéanamh ar aschuir an dara péire iolraitheoirí?
gui_multiplier 3_direction
ADD, FO, ATHRAITHEACH
Cláraigh ionchur 'addnsub3'
gui_addnsub_ Ar multiplier_reg Off ister3
Cad é an fhoinse le haghaidh ionchur clog?
gui_addnsub_ iolraitheoir_reg ister3_clock
Clog0 Clog1 Clog2
Luach Réamhshocraithe
As Clog0 NÍL NÍL ADD
As Clog0
Cur síos
Nuair a roghnaítear luach ATHRAITHEACH: · Tiomáint comhartha addnsub1 go hard do
oibríocht bhreise. · Comhartha addnsub1 a thiomáint go híseal le haghaidh
oibriú dealú. Ní mór duit níos mó ná dhá iolraitheoir a roghnú chun an paraiméadar seo a chumasú.
Roghnaigh an rogha seo chun clár ionchuir a chumasú don phort addnsub1. Ní mór duit ATHRÓG a roghnú le haghaidh Cén oibríocht ba cheart a dhéanamh ar aschuir an chéad phéire iolraitheoirí chun an paraiméadar seo a chumasú.
Roghnaigh Clock0 , Clock1 nó Clock2 chun an comhartha clog ionchuir a shonrú don chlár addnsub1. Ní mór duit ionchur Cláraigh 'addnsub1' a roghnú chun an paraiméadar seo a chumasú.
Sonraítear an fhoinse soiléir asincrónach don chlár addnsub1. Ní mór duit ionchur Cláraigh 'addnsub1' a roghnú chun an paraiméadar seo a chumasú.
Sonraítear an fhoinse shioncrónach shoiléir don chlár addnsub1. Ní mór duit ionchur Cláraigh 'addnsub1' a roghnú chun an paraiméadar seo a chumasú.
Roghnaigh oibríocht suimithe nó dealaithe le feidhmiú do na haschuir idir an tríú agus an ceathrú iolraitheoir. · Roghnaigh ADD chun suimiú a dhéanamh
oibríocht. · Roghnaigh SUB chun dealú a dhéanamh
oibríocht. · Roghnaigh VARIABLE chun addnsub1 a úsáid
port le haghaidh rialú dinimiciúil suimithe/dealaithe. Nuair a roghnaítear luach ATHRAITHEACH: · An comhartha addnsub1 a thiomáint go dtí an t-ard le haghaidh oibríocht suimiúcháin. · An comhartha addnsub1 a thiomáint chuig an íseal le haghaidh oibriú dealaithe. Caithfidh tú an luach 4 a roghnú le haghaidh Cad é líon na n-iolraitheoirí? chun an paraiméadar seo a chumasú.
Roghnaigh an rogha seo chun clár ionchuir a chumasú don chomhartha addnsub3. Ní mór duit ATHRÓG a roghnú le haghaidh Cén oibríocht ba cheart a dhéanamh ar aschuir an dara péire iolraitheoirí chun an paraiméadar seo a chumasú.
Roghnaigh Clock0 , Clock1 nó Clock2 chun an comhartha clog ionchuir a shonrú don chlár addnsub3. Ní mór duit ionchur Cláraigh 'addnsub3' a roghnú chun an paraiméadar seo a chumasú.
ar lean…
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 48
Seol Aiseolas
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Paraiméadar
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
Paraiméadar Ginte IP
Luach
gui_addnsub_ iolraitheoir_aclr 3
AON ACLR0 ACLR1
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach?
gui_addnsub_ iolraitheoir_sclr 3
AON SCLR0 SCLR1
Polaracht Cumasaigh `use_subadd'
gui_use_subn Ar
cuir
as
8.6.3. Cluaisín na nIolraitheoirí
Tábla 32. Iolraitheoirí Cluaisín
Paraiméadar
Paraiméadar Ginte IP
Luach
Cad é an
gui_ionadaíocht
formáid ionadaíochta ation_a
le haghaidh ionchuir Iolraitheoirí A?
SÍNITHE, GAN SÍNIÚ, ATHRAITHEACH
Cláraigh ionchur `signa'
gui_register_s Ar
igna
as
Cad é an fhoinse le haghaidh ionchur clog?
gui_clár_s igna_clock
Clog0 Clog1 Clog2
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
gui_clár_s igna_aclr
AON ACLR0 ACLR1
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach?
gui_register_s igna_sclr
AON SCLR0 SCLR1
Cad é an
gui_ionadaíocht
formáid ionadaíochta ation_b
le haghaidh ionchuir Iolraitheoirí B?
SÍNITHE, GAN SÍNIÚ, ATHRAITHEACH
Cláraigh ionchur `signb'
gui_register_s Ar
ignb
as
Luach Réamhshocraithe NÍL
AON
Cur síos
Sonraítear an fhoinse soiléir asincrónach don chlár addnsub3. Ní mór duit ionchur Cláraigh 'addnsub3' a roghnú chun an paraiméadar seo a chumasú.
Sonraítear an fhoinse shioncronach shoiléir don chlár addnsub3. Ní mór duit ionchur Cláraigh 'addnsub3' a roghnú chun an paraiméadar seo a chumasú.
as
Roghnaigh an rogha seo chun an fheidhm a aisiompú
de phort ionchuir addnsub.
Tiomáineann addnsub go hard le haghaidh oibriú dealaithe.
Tiomáineann addnsub go híseal le haghaidh oibríocht suimithe.
Luach Réamhshocraithe
Cur síos
GAN SÍNIÚ Sonraigh an fhormáid ionadaíochta don ionchur iolraitheora A.
as
Roghnaigh an rogha seo chun signa a chumasú
cláraigh.
Ní mór duit luach athraitheach a roghnú le haghaidh Cad é an fhormáid ionadaíochta d'ionchuir Iolraitheoirí A? paraiméadar chun an rogha seo a chumasú.
Clog0
Roghnaigh Clock0 , Clock1 nó Clock2 le cumasú agus sonraigh an comhartha clog ionchuir le haghaidh signa register.
Ní mór duit ionchur Cláraigh `signa' a roghnú chun an paraiméadar seo a chumasú.
AON
Sonraítear an fhoinse soiléir asincrónach don chlár comharthaí.
Ní mór duit ionchur Cláraigh `signa' a roghnú chun an paraiméadar seo a chumasú.
AON
Sonraítear an fhoinse shioncronach shoiléir don chlár comharthaí.
Ní mór duit ionchur Cláraigh `signa' a roghnú chun an paraiméadar seo a chumasú.
GAN SÍNIÚ Sonraigh an fhormáid ionadaíochta don ionchur iolraitheoir B.
as
Roghnaigh an rogha seo chun signb a chumasú
cláraigh.
ar lean…
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 49
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Paraiméadar
Paraiméadar Ginte IP
Luach
Luach Réamhshocraithe
Cad é an fhoinse le haghaidh ionchur clog?
gui_register_s ignb_clock
Clog0 Clog1 Clog2
Clog0
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
gui_register_s ignb_aclr
AON ACLR0 ACLR1
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach?
gui_register_s ignb_sclr
AON SCLR0 SCLR1
Cumraíocht Ionchur
Cláraigh ionchur A den iolraitheoir
Cad é an fhoinse le haghaidh ionchur clog?
gui_input_reg Ar
eistear_a
as
gui_input_reg ister_a_clog
Clog0 Clog1 Clog2
ACH AON
As Clog0
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
gui_input_reg ister_a_aclr
AON ACLR0 ACLR1
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach?
gui_input_reg ister_a_sclr
AON SCLR0 SCLR1
Cláraigh ionchur B den iolraitheoir
Cad é an fhoinse le haghaidh ionchur clog?
gui_input_reg Ar
eistear_b
as
gui_input_reg ister_b_clock
Clog0 Clog1 Clog2
AON NAON Lasmuigh den Clog0
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
gui_input_reg ister_b_aclr
AON ACLR0 ACLR1
AON
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach?
gui_input_reg ister_b_sclr
AON SCLR0 SCLR1
AON
Cad leis a bhfuil ionchur A an iolraitheora nasctha?
gui_multiplier Iolraitheoir ionchuir Iolraitheora
_a_ionchur
Scanadh ionchur ionchuir slabhra
Cur síos
Ní mór duit luach athraitheach a roghnú le haghaidh Cad é an fhormáid ionadaíochta d'ionchuir Iolraitheoirí B? paraiméadar chun an rogha seo a chumasú.
Roghnaigh Clock0 , Clock1 nó Clock2 chun an comhartha clog ionchuir a chumasú agus sonraigh do chlár signb. Ní mór duit ionchur Cláraigh `signb' a roghnú chun an paraiméadar seo a chumasú.
Sonraíonn sé an fhoinse soiléir asincrónach don chlár signb. Ní mór duit ionchur Cláraigh `signb' a roghnú chun an paraiméadar seo a chumasú.
Sonraítear an fhoinse shioncronach shoiléir don chlár signb. Ní mór duit ionchur Cláraigh `signb' a roghnú chun an paraiméadar seo a chumasú.
Roghnaigh an rogha seo chun clár ionchuir a chumasú don bhus ionchuir dataa.
Roghnaigh Clog0 , Clog1 nó Clog2 chun an comhartha clog ionchuir clár don bhus ionchuir sonraí a chumasú agus a shonrú. Ní mór duit Cláraigh ionchur A den iolraitheoir a roghnú chun an paraiméadar seo a chumasú.
Sonraíonn an clár foinse soiléir asincrónach don bhus ionchuir dataa. Ní mór duit Cláraigh ionchur A den iolraitheoir a roghnú chun an paraiméadar seo a chumasú.
Sonraíonn an clár foinse shioncrónach shoiléir don bhus ionchuir dataa. Ní mór duit Cláraigh ionchur A den iolraitheoir a roghnú chun an paraiméadar seo a chumasú.
Roghnaigh an rogha seo chun clár ionchuir a chumasú don bhus ionchuir datab.
Roghnaigh Clog0 , Clog1 nó Clog2 chun an comhartha clog ionchuir clár don bhus ionchuir datab a chumasú agus a shonrú. Ní mór duit Cláraigh ionchur B den iolraitheoir a roghnú chun an paraiméadar seo a chumasú.
Sonraíonn an clár foinse soiléir asincrónach don bhus ionchuir datab. Ní mór duit Cláraigh ionchur B den iolraitheoir a roghnú chun an paraiméadar seo a chumasú.
Sonraíonn an clár foinse shioncrónach shoiléir don bhus ionchuir sonraí. Ní mór duit Cláraigh ionchur B den iolraitheoir a roghnú chun an paraiméadar seo a chumasú.
Roghnaigh an fhoinse ionchuir le haghaidh ionchur A den iolraitheoir.
ar lean…
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 50
Seol Aiseolas
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Paraiméadar
Paraiméadar Ginte IP
Luach
Scanout Cumraíocht Chlár
Cláraigh aschur an tslabhra scanadh
gui_scanouta Ar
_clár
as
Cad é an fhoinse le haghaidh ionchur clog?
gui_scanouta _register_cloc k
Clog0 Clog1 Clog2
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
gui_scanouta _register_aclr
AON ACLR0 ACLR1
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach?
gui_scanouta _register_sclr
AON SCLR0 SCLR1
8.6.4. Cluaisín Preadder
Tábla 33. Preadder Tab
Paraiméadar
Paraiméadar Ginte IP
Luach
Roghnaigh mód preadder
preadder_mo de
SIMPLÍ, COEF, IONCHUR, Cearnóg, tairiseach
Luach Réamhshocraithe
Cur síos
Roghnaigh ionchur Iolraitheora chun bus ionchuir dataa a úsáid mar fhoinse don iolraitheoir. Roghnaigh ionchur slabhra Scan chun bus ionchuir scanin a úsáid mar fhoinse don iolraitheoir agus cumasaigh an bus aschuir scanta. Tá an paraiméadar seo ar fáil nuair a roghnaíonn tú 2, 3 nó 4 le haghaidh Cad é líon na n-iolraitheoirí? paraiméadar.
Lasmuigh den Chlog0 AON AON
Roghnaigh an rogha seo chun clár aschuir a chumasú do bhus aschuir scanouta.
Ní mór duit Scan ionchur slabhra a roghnú le haghaidh Cad é an t-ionchur A den iolraitheoir nasctha leis? paraiméadar chun an rogha seo a chumasú.
Roghnaigh Clock0 , Clock1 nó Clock2 chun an comhartha clog ionchuir clár don bhus aschuir scanouta a chumasú agus a shonrú.
Ní mór duit aschur Clár an pharaiméadar scanshlabhra a chur ar siúl chun an rogha seo a chumasú.
Sonraíonn an clár foinse soiléir asincrónach don bhus aschuir scanouta.
Ní mór duit aschur Clár an pharaiméadar scanshlabhra a chur ar siúl chun an rogha seo a chumasú.
Sonraíonn an clár foinse shioncrónach shoiléir don bhus aschuir scanouta.
Ní mór duit aschur Cláraigh den pharaiméadar slabhra scanta a roghnú chun an rogha seo a chumasú.
Luach Réamhshocraithe
SIMPLÍ
Cur síos
Sonraíonn sé an modh oibríochta don mhodúl preadder. SIMPLÍ: Seachnaíonn an mód seo an preadder. Is é seo an modh réamhshocraithe. COEF: Úsáideann an mód seo aschur an bhus ionchuir preadder agus coefsel mar ionchuir don iolraitheoir. IONCHUR: Úsáideann an mód seo aschur an bhus ionchuir preadder agus datac mar ionchuir don iolraitheoir. Cearnóg: Úsáideann an mód seo aschur an réamh-mheastacháin mar an dá ionchur don iolraitheoir.
ar lean…
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 51
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Paraiméadar
Paraiméadar Ginte IP
Luach
Roghnaigh treo preadder
gui_preadder ADD,
_treoir
FO
Cé chomh leathan ba chóir na busanna ionchuir C width_c a bheith?
1 – 256
Sonraí C Cumraíocht Chlár Ionchuir
Cláraigh ionchur sonraí
gui_datac_inp Ar
ut_clárú
as
Cad é an fhoinse le haghaidh ionchur clog?
gui_datac_inp ut_register_cl ock
Clog0 Clog1 Clog2
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
gui_datac_inp ut_register_a clr
AON ACLR0 ACLR1
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach?
gui_datac_inp ut_register_sc lr
AON SCLR0 SCLR1
Comhéifeachtaí
Cé chomh leathan ba chóir go mbeadh leithead an chófra?
leithead_coef
1 – 27
Cumraíocht Chlár Coef
Cláraigh an t-ionchur coefsel
gui_coef_regi Ar
steir
as
Cad é an fhoinse le haghaidh ionchur clog?
gui_coef_regi ster_clock
Clog0 Clog1 Clog2
Luach Réamhshocraithe
ADD
16
Cur síos
CONSTANT: Úsáideann an mód seo bus ionchuir dataa le preadder seachnaithe agus bus ionchuir coefsel mar ionchuir don iolraitheoir.
Sonraíonn sé oibriú an preadder. Chun an paraiméadar seo a chumasú, roghnaigh an méid seo a leanas le haghaidh Roghnaigh mód réamhrá: · COEF · IONCHUR · Cearnóg nó · CONSTANT
Sonraítear líon na ngiotán do bhus ionchuir C. Ní mór duit INPUT a roghnú le haghaidh Roghnaigh mód preadder chun an paraiméadar seo a chumasú.
Ar Clog0 AON AON
Roghnaigh an rogha seo chun clár ionchuir a chumasú don bhus ionchuir datac. Ní mór duit IONCHUR a shocrú go Roghnaigh paraiméadar mód réamhadder chun an rogha seo a chumasú.
Roghnaigh Clog0 , Clog1 nó Clog2 chun an comhartha clog ionchuir a shonrú don chlár ionchuir datac. Ní mór duit Cláraigh datac ionchur a roghnú chun an paraiméadar seo a chumasú.
Sonraítear an fhoinse soiléir asincrónach don chlár ionchuir datac. Ní mór duit Cláraigh datac ionchur a roghnú chun an paraiméadar seo a chumasú.
Sonraítear an fhoinse shioncrónach shoiléir don chlár ionchuir datac. Ní mór duit Cláraigh datac ionchur a roghnú chun an paraiméadar seo a chumasú.
18
Sonraítear líon na ngiotán le haghaidh
bus ionchur coefsel.
Ní mór duit COEF nó CONSTANT a roghnú le haghaidh mód an réamhrá chun an paraiméadar seo a chumasú.
Ar Clog0
Roghnaigh an rogha seo chun clár ionchuir a chumasú do bhus ionchuir coefsel. Ní mór duit COEF nó CONSTANT a roghnú le haghaidh mód an réamhrá chun an paraiméadar seo a chumasú.
Roghnaigh Clog0 , Clog1 nó Clog2 chun an comhartha cloig ionchuir a shonrú don chlár ionchuir coefsel. Ní mór duit an t-ionchur coefsel a roghnú chun an paraiméadar seo a chumasú.
ar lean…
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 52
Seol Aiseolas
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Paraiméadar
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
Paraiméadar Ginte IP
Luach
gui_coef_regi ster_aclr
AON ACLR0 ACLR1
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach
gui_coef_regi ster_sclr
AON SCLR0 SCLR1
Coefficient_0 Cumraíocht
coef0_0 go coef0_7
0x00000 0xFFFFFF
Coefficient_1 Cumraíocht
coef1_0 go coef1_7
0x00000 0xFFFFFF
Coefficient_2 Cumraíocht
coef2_0 go coef2_7
0x00000 0xFFFFFF
Coefficient_3 Cumraíocht
coef3_0 go coef3_7
0x00000 0xFFFFFF
8.6.5. Cluaisín Taisc
Tábla 34. Accumulator Tab
Paraiméadar
Paraiméadar Ginte IP
Luach
Cumasaigh carnadóir?
carnadóir
TÁ NÍL
Cad é an cineál oibríochta carntóra?
accum_directi ADD,
on
FO
Luach Réamhshocraithe NÍL
AON
0x0000000 0
0x0000000 0
0x0000000 0
0x0000000 0
Cur síos
Sonraítear an fhoinse soiléir asincrónach don chlár ionchuir comhéifeachta. Ní mór duit an t-ionchur coefsel a roghnú chun an paraiméadar seo a chumasú.
Sonraítear an fhoinse shioncrónach shoiléir don chlár ionchuir comhéifeachta. Ní mór duit an t-ionchur coefsel a roghnú chun an paraiméadar seo a chumasú.
Sonraítear na luachanna comhéifeachta don chéad iolraitheoir seo. Caithfidh líon na ngiotán a bheith mar a chéile agus atá sonraithe in Cé chomh leathan ba chóir go mbeadh leithead an chófra? paraiméadar. Ní mór duit COEF nó CONSTANT a roghnú le haghaidh mód an réamhrá chun an paraiméadar seo a chumasú.
Sonraítear na luachanna comhéifeachta don dara iolraitheoir seo. Caithfidh líon na ngiotán a bheith mar a chéile agus atá sonraithe in Cé chomh leathan ba chóir go mbeadh leithead an chófra? paraiméadar. Ní mór duit COEF nó CONSTANT a roghnú le haghaidh mód an réamhrá chun an paraiméadar seo a chumasú.
Sonraítear na luachanna comhéifeachta don tríú iolraitheoir seo. Caithfidh líon na ngiotán a bheith mar a chéile agus atá sonraithe in Cé chomh leathan ba chóir go mbeadh leithead an chófra? paraiméadar. Ní mór duit COEF nó CONSTANT a roghnú le haghaidh mód an réamhrá chun an paraiméadar seo a chumasú.
Sonraítear na luachanna comhéifeachta don cheathrú iolraitheoir seo. Caithfidh líon na ngiotán a bheith mar a chéile agus atá sonraithe in Cé chomh leathan ba chóir go mbeadh leithead an chófra? paraiméadar. Ní mór duit COEF nó CONSTANT a roghnú le haghaidh mód an réamhrá chun an paraiméadar seo a chumasú.
Luach Réamhshocraithe UIMH
ADD
Cur síos
Roghnaigh TÁ chun an carnán a chumasú. Ní mór duit an t-aschur Cláraigh d'aonad na mbreiseán a roghnú agus tú ag úsáid gné taiscthe.
Sonraíonn sé oibriú an charnóra: · ADD le haghaidh oibríocht suimithe · SUB le haghaidh oibríocht dealaithe. Ní mór duit TÁ a roghnú le haghaidh Cumasaigh taisc? paraiméadar chun an rogha seo a chumasú.
ar lean…
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 53
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Paraiméadar
Réamhlódáil Tairiseach Cumasaigh tairiseach réamhlódála
Paraiméadar Ginte IP
Luach
gui_ena_prelo Ar
ad_const
as
Cad é ionchur an chalafoirt charntha ceangailte leis?
gui_accumula ACCUM_SLOAD, te_port_roghnaigh SLOAD_ACCUM
Roghnaigh luach le haghaidh preload loadconst_val 0 – 64
tairiseach
ue
Cad é an fhoinse le haghaidh ionchur clog?
gui_accum_sl oad_register_ clog
Clog0 Clog1 Clog2
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
gui_accum_sl oad_register_ aclr
AON ACLR0 ACLR1
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach?
gui_accum_sl oad_register_ sclr
AON SCLR0 SCLR1
Cumasaigh carnadóir dúbailte
gui_double_a Ar
ccum
as
Luach Réamhshocraithe
Cur síos
as
Cumasaigh an accum_sload nó
comharthaí sload_accum agus ionchur a chlárú
a roghnú go dinimiciúil an t-ionchur chuig an
carnán.
Nuair a bhíonn accum_sload íseal nó sload_accum, cuirtear an t-aschur iolraitheoir isteach sa charnadóir.
Nuair a bhíonn accum_sload ard nó sload_accum, cuirtear tairiseach réamhlódála sonraithe ag an úsáideoir isteach sa charnadóir.
Ní mór duit TÁ a roghnú le haghaidh Cumasaigh taisc? paraiméadar chun an rogha seo a chumasú.
ACCUM_SL OAD
Sonraítear iompraíocht an chomhartha accum_sload/ sload_accum.
ACCUM_SLOAD: Tiomáint accum_sload íseal chun aschur an iolraitheora a luchtú chuig an tiomsaitheoir.
SLOAD_ACCUM: Tiomáin sload_accum high chun an t-aschur iolraithe a luchtú chuig an tiomsaitheoir.
Ní mór duit Cumasaigh an rogha tairiseach réamhlódála a roghnú chun an paraiméadar seo a chumasú.
64
Sonraigh an luach tairiseach réamhshocraithe.
Is féidir leis an luach seo a bheith 2N nuair is é N an luach tairiseach réamhshocraithe.
Nuair a bhíonn N=64, seasann sé do nialas tairiseach.
Ní mór duit Cumasaigh an rogha tairiseach réamhlódála a roghnú chun an paraiméadar seo a chumasú.
Clog0
Roghnaigh Clock0 , Clock1 nó Clock2 chun an comhartha clog ionchuir le haghaidh clár accum_sload/sload_accum a shonrú.
Ní mór duit Cumasaigh an rogha tairiseach réamhlódála a roghnú chun an paraiméadar seo a chumasú.
AON
Sonraítear an fhoinse soiléir asincrónach don chlár accum_sload/sload_accum.
Ní mór duit Cumasaigh an rogha tairiseach réamhlódála a roghnú chun an paraiméadar seo a chumasú.
AON
Sonraítear an fhoinse shioncronach shoiléir don chlár accum_sload/sload_accum.
Ní mór duit Cumasaigh an rogha tairiseach réamhlódála a roghnú chun an paraiméadar seo a chumasú.
as
Cumasaíonn sé an clár carntha dúbailte.
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 54
Seol Aiseolas
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
8.6.6. Cluaisín Systolic/Chainout
Tábla 35. Cluaisín Suiméara Systolic/Slabhraout
Paraiméadar Cumasaigh seoltóir slabhrach
Paraiméadar Ginte IP
Luach
chainout_cuir TÁ,
er
NÍL
Cad é an cineál oibríochta adder slabhrach?
chainout_cuir ADD,
er_treo
FO
Cumasaigh ionchur `negate' le haghaidh na mbreiseán slabhrach?
Port_negata
PORT_USED, PORT_UNUSED
Cláraigh ionchur `negate'? negate_regist er
NEAMHCHLÁRAITHE, CLOCK0, CLOCK1, CLOCK2, CLOCK3
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
diúltach_aclr
AON ACLR0 ACLR1
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach?
diúltach_sclr
AON SCLR0 SCLR1
Moill Systolic
Cumasaigh cláir moille systolic
gui_systolic_d Ar
eala
as
Cad é an fhoinse le haghaidh ionchur clog?
gui_systolic_d CLOCK0,
elai_clog
CLOIC 1,
Luach Réamhshocraithe
NÍL
Cur síos
Roghnaigh TÁ chun modúl an tslabhra amach a chumasú.
ADD
Sonraíonn sé oibríocht na mbreiseán slabhrach.
Le haghaidh oibriú dealaithe, ní mór SÍNITHE a roghnú le haghaidh Cad é an fhormáid ionadaíochta d’ionchuir Iolraitheoirí A? agus Cad é an fhormáid ionadaíochta d'ionchuir Iolraitheoirí B? sa Chluaisín Iolraitheoirí.
PORT_UN ÚSÁID
Roghnaigh PORT_USED chun comhartha ionchuir diúltach a chumasú.
Tá an paraiméadar seo neamhbhailí nuair a dhíchumasaítear adder slabhra-out.
UNREGIST ERED
Chun an clár ionchuir a chumasú le haghaidh comhartha ionchuir negate agus sonraíonn sé an comhartha clog ionchuir do chlár negate.
Roghnaigh NEAMHCHLÁRAITHE mura bhfuil gá leis an gclár ionchur diúltach
Tá an paraiméadar seo neamhbhailí nuair a roghnaíonn tú:
· NÍL le haghaidh adder chainout Cumasaigh nó
· PORT_UNUSED le haghaidh ionchur 'negate' a chumasú le haghaidh na mbreiseán slabhrach? paraiméadar nó
AON
Sonraítear an fhoinse soiléir asincrónach don chlár diúltach.
Tá an paraiméadar seo neamhbhailí nuair a roghnaíonn tú:
· NÍL le haghaidh adder chainout Cumasaigh nó
· PORT_UNUSED le haghaidh ionchur 'negate' a chumasú le haghaidh na mbreiseán slabhrach? paraiméadar nó
AON
Sonraítear an fhoinse shoiléir shioncronach don chlár diúltach.
Tá an paraiméadar seo neamhbhailí nuair a roghnaíonn tú:
· NÍL le haghaidh adder chainout Cumasaigh nó
· PORT_UNUSED le haghaidh ionchur 'negate' a chumasú le haghaidh na mbreiseán slabhrach? paraiméadar nó
As CLOCK0
Roghnaigh an rogha seo chun mód systolic a chumasú. Tá an paraiméadar seo ar fáil nuair a roghnaíonn tú 2, nó 4 le haghaidh Cad é líon na n-iolraitheoirí? paraiméadar. Ní mór duit aschur Clár an aonaid addreora a chumasú chun na cláir moille systolic a úsáid.
Sonraítear an comhartha clog ionchuir le haghaidh clár moille systolic.
ar lean…
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 55
8. Intel FPGA Iolrú Adder IP Core 683490 | 2020.10.05. XNUMX
Paraiméadar
Paraiméadar Ginte IP
Luach
CLOIC 2,
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
gui_systolic_d elay_aclr
AON ACLR0 ACLR1
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach?
gui_systolic_d elay_sclr
AON SCLR0 SCLR1
Luach Réamhshocraithe
AON
AON
Cur síos
Ní mór duit cláir moille systolic cumasaithe a roghnú chun an rogha seo a chumasú.
Sonraíonn sé an fhoinse soiléir asincrónach don chlár moille systólach. Ní mór duit cláir moille systolic cumasaithe a roghnú chun an rogha seo a chumasú.
Sonraítear an fhoinse shioncrónach shoiléir don chlár moille systolic. Ní mór duit cláir moille systolic cumasaithe a roghnú chun an rogha seo a chumasú.
8.6.7. Cluaisín Píblíne
Tábla 36. Píblíne Cluaisín
Cumraíocht Píblíne Paraiméadar
Paraiméadar Ginte IP
Luach
Ar mhaith leat clár píblíne a chur leis an ionchur?
gui_pipelining Ní hea, Sea
Luach Réamhshocraithe
Níl
Sonraigh le do thoil an
latency
líon na gclog latency
timthriallta
Aon luach ar mó é ná 0
Cad é an fhoinse le haghaidh ionchur clog?
gui_input_déanach ncy_clock
CLOCK0, CLOCK1, CLOCK2
Cad é an fhoinse le haghaidh ionchur soiléir asincrónach?
gui_input_late ncy_aclr
AON ACLR0 ACLR1
Cad é an fhoinse le haghaidh ionchur soiléir sioncrónach?
gui_input_late ncy_sclr
AON SCLR0 SCLR1
CLOC0 AON AON
Cur síos
Roghnaigh Tá chun leibhéal breise de chlár píblíne a chumasú do na comharthaí ionchuir. Ní mór duit luach níos mó ná 0 a shonrú le haghaidh Sonraigh le do thoil líon na dtimthriallta clog latency.
Sonraíonn sé an latency inmhianaithe i dtimthriallta clog. Leibhéal amháin clár píblíne = 1 latency sa timthriall clog. Caithfidh tú TÁ a roghnú le haghaidh Ar mhaith leat clár píblíne a chur leis an ionchur? chun an rogha seo a chumasú.
Roghnaigh Clock0 , Clock1 nó Clock2 chun an comhartha clog ionchuir clár píblíne a chumasú agus a shonrú. Caithfidh tú TÁ a roghnú le haghaidh Ar mhaith leat clár píblíne a chur leis an ionchur? chun an rogha seo a chumasú.
Sonraíonn an clár foinse soiléir asincrónach don chlár píblíne breise. Caithfidh tú TÁ a roghnú le haghaidh Ar mhaith leat clár píblíne a chur leis an ionchur? chun an rogha seo a chumasú.
Sonraíonn an clár foinse shioncrónach shoiléir don chlár píblíne breise. Caithfidh tú TÁ a roghnú le haghaidh Ar mhaith leat clár píblíne a chur leis an ionchur? chun an rogha seo a chumasú.
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 56
Seol Aiseolas
683490 | 2020.10.05 Seol Aiseolas
9. ALTEMEMMULT (Iolraitheoir Comhéifeacht Tairiseach bunaithe ar Chuimhne) Croí IP
Aird:
Tá Intel tar éis tacaíocht an IP seo a bhaint as Intel Quartus Prime Pro Edition leagan 20.3. Má dhíríonn an croí IP i do dhearadh ar fheistí in Intel Quartus Prime Pro Edition, is féidir leat IP LPM_MULT Intel FPGA a chur in ionad an IP nó an IP a athghiniúint agus do dhearadh a thiomsú ag baint úsáide as bogearraí Intel Quartus Prime Standard Edition.
Úsáidtear an croí ALTEMEMMULT IP chun iolraitheoirí cuimhne-bhunaithe a chruthú ag baint úsáide as na bloic chuimhne oinniún a fhaightear i FPGAanna Intel (le bloic chuimhne M512, M4K, M9K, agus MLAB). Tá an croí IP seo úsáideach mura bhfuil acmhainní dóthanacha agat chun na hiolraitheoirí in eilimintí loighic (LEanna) nó acmhainní tiomnaithe iolraitheora a chur i bhfeidhm.
Is feidhm shioncrónach é croí ALTEMEMMULT IP a éilíonn clog. Cuireann croí ALTEMEMMULT IP iolraitheoir i bhfeidhm a bhfuil an tréchur agus an foighne is lú agus is féidir ann do shraith áirithe paraiméadair agus sonraíochtaí.
Taispeánann an figiúr seo a leanas na calafoirt do chroílár ALTEMEMMULT IP.
Fíor 21. Calafoirt ALTEMEMMULT
ALTEMMULT
data_in[] sload_data coeff_in[]
toradh[] result_valid load_done
sload_coeff
sclr clog
inst
Gnéithe Faisnéise Gaolmhara ar leathanach 71
9.1. Gnéithe
Cuireann croí ALTEMEMMULT IP na gnéithe seo a leanas ar fáil: · Ní chruthaíonn sé ach iolraitheoirí cuimhne-bhunaithe ag baint úsáide as bloic chuimhne ar an tslis a aimsítear i
FPGAanna Intel · Tacaíonn sé le leithead sonraí 1 giotán · Tacaíonn sé le formáid ionadaíochta sonraí sínithe agus neamhshínithe · Tacaíonn sé le píblíne le latency aschuir sheasta
Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a aontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí. *Féadtar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.
ISO 9001:2015 Cláraithe
9. ALTEMEMMULT (Iolraitheoir Comhéifeacht Tairiseach Bunaithe ar Chuimhne) Core IP 683490 | 2020.10.05. XNUMX
· Stóráiltear tairisigh iolracha i gcuimhne rochtana randamach (RAM)
· Soláthraíonn sé rogha chun an cineál bloc RAM a roghnú
· Tacaíonn sé le calafoirt ionchurtha roghnacha soiléire sioncrónacha agus rialaithe ualaigh
9.2. Fréamhshamhail Verilog HDL
Tá an fhréamhshamhail Verilog HDL seo a leanas suite sa Verilog Design File (.v) altera_mf.v sa eolaire sintéise eda.
modúl altmemmult #( paraiméadar coeff_representation = “SIGNED”, comhéifeacht paraiméadar0 = “UNUSED”, paraiméadar data_representation = “SIGNED”, paraiméadar intended_device_family = “neamhúsáidte”, paraiméadar max_clock_cycles_per_result = 1, paraiméadar number_of_coefficients = AU_TO, paraiméadar = paraiméadar = 1 bloc, paraiméadar total_latency = 1, paraiméadar width_c = 1, paraiméadar width_d = 1, paraiméadar width_r = 1, paraiméadar width_s = 1, paraiméadar lpm_type = “altmemmult”, paraiméadar lpm_hint = “neamhúsáidte”) (clog sreang ionchuir, sreang ionchuir [width_c-1: 0]coeff_in, sreang ionchuir [width_d-1:0] data_in, sreang aschuir load_done, sreang aschuir [width_r-1:0] toradh, sreang aschuir result_valid, sreang ionchuir sclr, sreang ionchuir [width_s-1:0] sel, ionchur sreang sload_coeff, sreang ionchuir sload_data)/* sintéis syn_black_box=1 */ ; endmodúl
9.3. Dearbhú Comhpháirt VHDL
Tá dearbhú comhpháirte VHDL suite sa Dearadh VHDL File (.vhd) altera_mf_components.vhd sa eolaire leabharlannavhdlaltera_mf.
comhpháirt altmemmult cineálach ( coeff_representation: string := “SÍNITHE”; comhéifeacht0: teaghrán := “UNÚSÁID”; data_representation: teaghrán := “SIGNED”; beartaithe_device_family: teaghrán := “neamhúsáidte”; max_clock_cycles_per_result: uimhir nádúrtha :==coffie_nádúrtha := 1; ram_block_type: string := "AUTO"; iomlán_latency: leithead_c: nádúrtha; width_r: nádúrtha; “altmemmult”); port( clog: i std_logic; coeff_in: i std_logic_vector(width_c-1 downto 1):= (eile => '1'); data_in: i std_logic_vector(width_d-0 downto 0);
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 58
Seol Aiseolas
9. ALTEMEMMULT (Iolraitheoir Comhéifeacht Tairiseach Bunaithe ar Chuimhne) Core IP 683490 | 2020.10.05. XNUMX
load_done: amach std_logic; toradh: amach std_logic_vector(width_r-1 downto 0); toradh_valid: amach std_logic; sclr:in std_logic := '0'; sel: in std_logic_vector(width_s-1 downto 0):= (eile => '0'); sload_coeff:in std_logic := '0'; sload_data: i std_logic := '0'); comhpháirt deiridh;
9.4. Calafoirt
Liostaíonn na táblaí seo a leanas na calafoirt ionchuir agus aschuir do chroílár ALTEMEMMULT IP.
Tábla 37. Calafoirt Ionchuir ALTEMEMMULT
Ainm an Phoirt
Ag teastáil
Cur síos
clog
Tá
Ionchur clog don iolraitheoir.
coeff_i[]
Níl
Comhéifeacht port ionchuir don iolraitheoir. Braitheann méid an phoirt ionchuir ar luach paraiméadar WIDTH_C.
sonraí_i[]
Tá
Port ionchuir sonraí chuig an iolraitheoir. Braitheann méid an phoirt ionchuir ar luach paraiméadar WIDTH_D.
sclr
Níl
Ionchur soiléir sioncrónach. Mura n-úsáidtear é, tá an luach réamhshocraithe gníomhach ard.
sel[]
Níl
Roghnú comhéifeacht seasta. Braitheann méid an phoirt ionchuir ar an WIDTH_S
luach paraiméadar.
sload_coeff
Níl
Port ionchur comhéifeacht ualaigh sioncrónach. Cuirtear an luach atá sonraithe san ionchur coeff_in in ionad an chomhéifeacht reatha roghnaithe.
sonraí_sload
Níl
Port ionchur sonraí ualaigh sioncrónach. Comhartha a shonraíonn oibríocht iolraithe nua agus a chealaíonn aon oibríocht iolraithe atá ann cheana. Má tá luach 1 ag an bparaiméadar MAX_CLOCK_CYCLES_PER_RESULT, déantar neamhaird den phort ionchuir sload_sonraí.
Tábla 38. Calafoirt Aschuir ALTEMEMMULT
Ainm an Phoirt
Ag teastáil
Cur síos
toradh[]
Tá
Port aschuir iolraitheoir. Braitheann méid an phoirt ionchuir ar luach paraiméadar WIDTH_R.
toradh_bailí
Tá
Léiríonn sé cathain is toradh bailí ar iolrú iomlán é an t-aschur. Má tá luach 1 ag an bparaiméadar MAX_CLOCK_CYCLES_PER_RESULT, ní úsáidtear an port aschuir result_valid.
luchtaithe_déanta
Níl
Léiríonn sé cathain a bheidh an chomhéifeacht nua críochnaithe ag luchtú. Dearbhaíonn an comhartha load_done nuair a bhíonn luchtú comhéifeacht nua críochnaithe. Mura bhfuil an comhartha load_done ard, ní féidir aon luach comhéifeachta eile a luchtú isteach sa chuimhne.
9.5. Paraiméadair
Liostaíonn an tábla seo a leanas na paraiméadair do chroílár ALTEMEMMULT IP.
Tábla 39 .
WIDTH_D WIDTH_C
ALTEMEMMULT Paraiméadair
Ainm Paraiméadar
Cineál ag teastáil
Cur síos
Slánuimhir Sea
Sonraíonn sé leithead an phoirt data_in[].
Slánuimhir Sea
Sonraíonn sé leithead an phoirt coeff_in[]. ar lean…
Seol Aiseolas
Treoir Úsáideora Intel FPGA Arithmetic IP Cores 59
9. ALTEMEMMULT (Iolraitheoir Comhéifeacht Tairiseach Bunaithe ar Chuimhne) Core IP 683490 | 2020.10.05. XNUMX
Ainm an pharaiméadar WIDTH_R WIDTH
Doiciméid / Acmhainní
![]() |
Intel FPGA Slánuimhir Croíthe IP Arithmetic [pdfTreoir Úsáideora Croí IP uimhríochta Slánuimhir FPGA, Croí IP Comhuimhríochta Slánuimhir, Croí IP Uimhríochta, Croí IP |