FPGA పూర్ణాంక అంకగణిత IP కోర్లు

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్
Intel® Quartus® Prime Design Suite కోసం నవీకరించబడింది: 20.3

ఆన్‌లైన్ వెర్షన్ అభిప్రాయాన్ని పంపండి

UG-01063

ID: 683490 వెర్షన్: 2020.10.05

కంటెంట్‌లు
కంటెంట్‌లు
1. ఇంటెల్ FPGA పూర్ణాంక అంకగణిత IP కోర్లు……………………………………………………………….. 5
2. LPM_COUNTER (కౌంటర్) IP కోర్ ……………………………………………………………………………… 7 2.1. ఫీచర్లు ………………………………………………………………………………………………………… 7 2.2. వెరిలోగ్ HDL ప్రోటోటైప్ ……………………………………………………………………………… 8 2.3. VHDL కాంపోనెంట్ డిక్లరేషన్ ……………………………………………………………… 8 2.4. VHDL లైబ్రరీ_యూజ్ డిక్లరేషన్ ……………………………………………………………… 9 2.5. పోర్ట్‌లు …………………………………………………………………………………………………………..9 2.6. పారామితులు ………………………………………………………………………………………… 10
3. LPM_DIVIDE (డివైడర్) ఇంటెల్ FPGA IP కోర్ ……………………………………………………… .. 12 3.1. లక్షణాలు………………………………………………………………………………………………. 12 3.2. వెరిలోగ్ HDL ప్రోటోటైప్……………………………………………………………………………… 12 3.3. VHDL కాంపోనెంట్ డిక్లరేషన్ …………………………………………………………………… 13 3.4. VHDL లైబ్రరీ_యూజ్ డిక్లరేషన్ …………………………………………………………… 13 3.5. నౌకాశ్రయాలు …………………………………………………………………………………………………… 13 3.6. పారామితులు ………………………………………………………………………………………… 14
4. LPM_MULT (మల్టిప్లైయర్) IP కోర్………………………………………………………………. 16 4.1. లక్షణాలు………………………………………………………………………………………………. 16 4.2. వెరిలోగ్ హెచ్‌డిఎల్ ప్రోటోటైప్…………………………………………………………………… 17 4.3. VHDL కాంపోనెంట్ డిక్లరేషన్ …………………………………………………………………… 17 4.4. VHDL లైబ్రరీ_యూజ్ డిక్లరేషన్ …………………………………………………………… 17 4.5. సంకేతాలు…………………………………………………………………………………………………………………………………… 18 4.6. స్ట్రాటిక్స్ V, అర్రియా V, సైక్లోన్ V, మరియు ఇంటెల్ సైక్లోన్ 10 LP పరికరాల కోసం పారామితులు…………… 18 4.6.1. సాధారణ ట్యాబ్ ……………………………………………………………………………………………………………… 18 4.6.2. సాధారణ 2 టాబ్ ……………………………………………………………………………… 19 4.6.3. పైప్‌లైనింగ్ ట్యాబ్…………………………………………………………………… 19 4.7. ఇంటెల్ స్ట్రాటిక్స్ 10, ఇంటెల్ అరియా 10, మరియు ఇంటెల్ సైక్లోన్ 10 జిఎక్స్ పరికరాల కోసం పారామితులు........ 20 4.7.1. సాధారణ ట్యాబ్ ……………………………………………………………………………………………… 20 4.7.2. సాధారణ 2 టాబ్ ……………………………………………………………………………… 20 4.7.3. పైప్‌లైనింగ్ …………………………………………………………………………………………………… 21
5. LPM_ADD_SUB (యాడర్/సబ్‌ట్రాక్టర్)………………………………………………………………………… 22 5.1. లక్షణాలు………………………………………………………………………………………………. 22 5.2. వెరిలోగ్ HDL ప్రోటోటైప్………………………………………………………………………… 23 5.3. VHDL కాంపోనెంట్ డిక్లరేషన్ ……………………………………………………………… .. 23 5.4. VHDL లైబ్రరీ_యూజ్ డిక్లరేషన్ …………………………………………………………… 23 5.5. ఓడరేవులు ………………………………………………………………………………………………………… 23 5.6. పారామితులు …………………………………………………………………………………………………… 24
6. LPM_COMPARE (కంపారేటర్)…………………………………………………………………………… 26 6.1. లక్షణాలు………………………………………………………………………………………………. 26 6.2. వెరిలోగ్ హెచ్‌డిఎల్ ప్రోటోటైప్…………………………………………………………………… 27 6.3. VHDL కాంపోనెంట్ డిక్లరేషన్ ……………………………………………………………… .. 27 6.4. VHDL లైబ్రరీ_యూజ్ డిక్లరేషన్ …………………………………………………………… 27 6.5. ఓడరేవులు ………………………………………………………………………………………………………… 27 6.6. పారామితులు …………………………………………………………………………………………………… 28

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 2

అభిప్రాయాన్ని పంపండి

కంటెంట్‌లు

7. ALTECC (ఎర్రర్ కరెక్షన్ కోడ్: ఎన్‌కోడర్/డీకోడర్) IP కోర్ ………………………………………… 30
7.1 ALTECC ఎన్‌కోడర్ ఫీచర్‌లు……………………………………………………………………………… 31 7.2. వెరిలాగ్ HDL ప్రోటోటైప్ (ALTECC_ENCODER)…………………………………………. 32 7.3. వెరిలాగ్ HDL ప్రోటోటైప్ (ALTECC_DECODER)…………………………………………. 32 7.4. VHDL కాంపోనెంట్ డిక్లరేషన్ (ALTECC_ENCODER)………………………………………… 33 7.5. VHDL కాంపోనెంట్ డిక్లరేషన్ (ALTECC_DECODER)………………………………………… 33 7.6. VHDL లైబ్రరీ_యూజ్ డిక్లరేషన్ …………………………………………………………… 33 7.7. ఎన్‌కోడర్ పోర్ట్‌లు…………………………………………………………………………………… 33 7.8. డీకోడర్ పోర్ట్‌లు…………………………………………………………………………………… 34 7.9. ఎన్‌కోడర్ పారామితులు……………………………………………………………………………… 34 7.10. డీకోడర్ పారామితులు ………………………………………………………………………… 35
8. ఇంటెల్ FPGA మల్టిప్లై యాడర్ IP కోర్………………………………………………………………. 36
8.1 లక్షణాలు………………………………………………………………………………………………. 37 8.1.1. ప్రీ-యాడర్ ………………………………………………………………………………………… 38 8.1.2. సిస్టోలిక్ ఆలస్యం రిజిస్టర్ …………………………………………………………………… 40 8.1.3. ప్రీ-లోడ్ స్థిరాంకం ………………………………………………………………………… 43 8.1.4. డబుల్ అక్యుమ్యులేటర్ ……………………………………………………………… 43
8.2 వెరిలోగ్ HDL ప్రోటోటైప్ ………………………………………………………………………… 44 8.3. VHDL కాంపోనెంట్ డిక్లరేషన్ …………………………………………………………………… 44 8.4. VHDL లైబ్రరీ_యూజ్ డిక్లరేషన్ …………………………………………………………… 44 8.5. సంకేతాలు……………………………………………………………………………………………… 44 8.6. పారామితులు ………………………………………………………………………………………………………… 47
8.6.1 సాధారణ ట్యాబ్ …………………………………………………………………………………………………………………………………………………………………………………… 47 8.6.2. అదనపు మోడ్‌ల ట్యాబ్ ……………………………………………………………….. 47 8.6.3. గుణకాల ట్యాబ్ ……………………………………………………………………………… 49 8.6.4. ప్రీడర్ ట్యాబ్ …………………………………………………………………………. 51 8.6.5. అక్యుమ్యులేటర్ ట్యాబ్……………………………………………………………….. 53 8.6.6. సిస్టోలిక్/చైనౌట్ ట్యాబ్ ………………………………………………………………. 55 8.6.7. పైప్‌లైన్ ట్యాబ్ ………………………………………………………………………… 56
9. ALTMEMMULT (మెమరీ-ఆధారిత స్థిర గుణకం గుణకం) IP కోర్ …………………… 57
9.1 లక్షణాలు………………………………………………………………………………………………. 57 9.2. వెరిలోగ్ HDL ప్రోటోటైప్………………………………………………………………………… 58 9.3. VHDL కాంపోనెంట్ డిక్లరేషన్ ……………………………………………………………… .. 58 9.4. పోర్ట్‌లు ………………………………………………………………………………………………………… 59 9.5. పారామితులు ……………………………………………………………………………………………… 59
10. ALTMULT_ACCUM (మల్టిప్లై-అక్యుములేట్) IP కోర్ …………………………………………………… 61
10.1 ఫీచర్లు……………………………………………………………………………………………………………… 62 10.2. వెరిలోగ్ హెచ్‌డిఎల్ ప్రోటోటైప్……………………………………………………………………………… 62 10.3. VHDL కాంపోనెంట్ డిక్లరేషన్……………………………………………………………… 63 10.4. VHDL LIBRARY_USE డిక్లరేషన్ ……………………………………………………………… 63 10.5. ఓడరేవులు………………………………………………………………………………………… 63 10.6. పారామితులు ……………………………………………………………………………………. 64
11. ALTMULT_ADD (మల్టిప్లై-యాడర్) IP కోర్………………………………………………………………..69
11.1 లక్షణాలు …………………………………………………………………………………………………… 71 11.2. వెరిలోగ్ హెచ్‌డిఎల్ ప్రోటోటైప్ ……………………………………………………………………………… 72 11.3. VHDL కాంపోనెంట్ డిక్లరేషన్……………………………………………………………… 72 11.4. VHDL LIBRARY_USE డిక్లరేషన్ ……………………………………………………………… 72

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 3

కంటెంట్‌లు
11.5 ఓడరేవులు………………………………………………………………………………………… 72 11.6. పారామితులు ……………………………………………………………………………………. 73
12. ALTMULT_COMPLEX (కాంప్లెక్స్ మల్టిప్లైయర్) IP కోర్ …………………………………………………… 86 12.1. సంక్లిష్ట గుణకారం …………………………………………………………………… 86 12.2. కానానికల్ ప్రాతినిధ్యం……………………………………………………………… 87 12.3. సాంప్రదాయిక ప్రాతినిధ్యం ………………………………………………………………. 87 12.4. ఫీచర్లు…………………………………………………………………………………………………… 88 12.5. వెరిలోగ్ హెచ్‌డిఎల్ ప్రోటోటైప్ …………………………………………………………………………………….88 12.6. VHDL కాంపోనెంట్ డిక్లరేషన్……………………………………………………………… 89 12.7. VHDL LIBRARY_USE డిక్లరేషన్ ……………………………………………………………… 89 12.8. సంకేతాలు……………………………………………………………………………………. 89 12.9. పారామితులు ……………………………………………………………………………………. 90
13. ALTSQRT (పూర్ణాంక స్క్వేర్ రూట్) IP కోర్ ……………………………………………………… 92 13.1. లక్షణాలు…………………………………………………………………………………………………… 92 13.2. వెరిలోగ్ హెచ్‌డిఎల్ ప్రోటోటైప్ ……………………………………………………………………………… 92 13.3. VHDL కాంపోనెంట్ డిక్లరేషన్ ……………………………………………………………… 93 13.4. VHDL LIBRARY_USE డిక్లరేషన్ ……………………………………………………………… 93 13.5. ఓడరేవులు………………………………………………………………………………………… 93 13.6. పారామితులు ……………………………………………………………………………………. 94
14. PARALLEL_ADD (సమాంతర యాడర్) IP కోర్ ……………………………………………………… .. 95 14.1. ఫీచర్ ……………………………………………………………………………………. 95 14.2. వెరిలోగ్ హెచ్‌డిఎల్ ప్రోటోటైప్ ……………………………………………………………………………… 95 14.3. VHDL కాంపోనెంట్ డిక్లరేషన్……………………………………………………………… 96 14.4. VHDL LIBRARY_USE డిక్లరేషన్ …………………………………………………… 96 14.5. ఓడరేవులు………………………………………………………………………………………… 96 14.6. పారామితులు ……………………………………………………………………………………. 97
15. పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ డాక్యుమెంట్ ఆర్కైవ్‌లు……………………………… 98
16. ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ కోసం డాక్యుమెంట్ రివిజన్ హిస్టరీ…. 99

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 4

అభిప్రాయాన్ని పంపండి

683490 | 2020.10.05 అభిప్రాయాన్ని పంపండి

1. ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్లు

మీరు మీ డిజైన్‌లో గణిత కార్యకలాపాలను నిర్వహించడానికి Intel® FPGA పూర్ణాంక IP కోర్లను ఉపయోగించవచ్చు.

ఈ ఫంక్షన్‌లు మీ స్వంత ఫంక్షన్‌లను కోడింగ్ చేయడం కంటే మరింత సమర్థవంతమైన లాజిక్ సింథసిస్ మరియు పరికర అమలును అందిస్తాయి. మీరు మీ డిజైన్ అవసరాలకు అనుగుణంగా IP కోర్లను అనుకూలీకరించవచ్చు.

ఇంటెల్ పూర్ణాంక అంకగణిత IP కోర్లు క్రింది రెండు వర్గాలుగా విభజించబడ్డాయి: · పారామీటర్ చేయబడిన మాడ్యూల్స్ లైబ్రరీ (LPM) IP కోర్లు · ఇంటెల్-నిర్దిష్ట (ALT) IP కోర్లు

కింది పట్టిక పూర్ణాంక అంకగణిత IP కోర్లను జాబితా చేస్తుంది.

పట్టిక 1.

IP కోర్ల జాబితా

IP కోర్లు

LPM IP కోర్లు

LPM_COUNTER

LPM_DIVIDE

LPM_MULT

LPM_ADD_SUB
LPM_COMPARE
ఇంటెల్-నిర్దిష్ట (ALT) IP కోర్లు ALTECC

ఫంక్షన్ ముగిసిందిview కౌంటర్ డివైడర్ గుణకం
యాడర్ లేదా ఉపసంహరణ కంపారిటర్
ECC ఎన్‌కోడర్/డీకోడర్

మద్దతు ఉన్న పరికరం
Arria® II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone® IV E, సైక్లోన్ IV GX, సైక్లోన్ V, ఇంటెల్ సైక్లోన్ 10 LP,
ఇంటెల్ సైక్లోన్ 10 GX, MAX® II, MAX V, MAX 10, Stratix® IV, Stratix V
అర్రియా II GX, అర్రియా II GZ, అర్రియా V, ఇంటెల్ అరియా 10, సైక్లోన్ IV E, సైక్లోన్ IV GX,
సైక్లోన్ V, ఇంటెల్ సైక్లోన్ 10 LP, ఇంటెల్ సైక్లోన్ 10 GX, MAX II, MAX V, MAX 10, స్ట్రాటిక్స్ IV, స్ట్రాటిక్స్ V, ఇంటెల్ స్ట్రాటిక్స్ 10
అర్రియా II GX, అర్రియా II GZ, అర్రియా V, ఇంటెల్ అరియా 10, సైక్లోన్ IV E, సైక్లోన్ IV GX,
సైక్లోన్ V, ఇంటెల్ సైక్లోన్ 10 LP, ఇంటెల్ సైక్లోన్ 10 GX, MAX II, MAX V, MAX 10, స్ట్రాటిక్స్ IV, స్ట్రాటిక్స్ V, ఇంటెల్ స్ట్రాటిక్స్ 10
అర్రియా II GX, అర్రియా II GZ, అర్రియా V, సైక్లోన్ IV E, సైక్లోన్ IV GX, సైక్లోన్ V, ఇంటెల్ సైక్లోన్ 10 LP, MAX 10, MAX
II, MAX V, స్ట్రాటిక్స్ IV, స్ట్రాటిక్స్ V
అర్రియా II GX, అర్రియా II GZ, అర్రియా V, సైక్లోన్ IV E, సైక్లోన్ IV GX, సైక్లోన్ V, ఇంటెల్ సైక్లోన్ 10 LP, MAX 10, MAX
II, MAX V, స్ట్రాటిక్స్ IV, స్ట్రాటిక్స్ V
అర్రియా II GX, అర్రియా II GZ, అర్రియా V, ఇంటెల్ అరియా 10, సైక్లోన్ IV E, సైక్లోన్ IV GX,
సైక్లోన్ V, ఇంటెల్ సైక్లోన్ 10 LP, ఇంటెల్ సైక్లోన్ 10 GX, MAX II, MAX V, MAX
10, స్ట్రాటిక్స్ IV, స్ట్రాటిక్స్ V కొనసాగింది...

ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్‌మార్క్‌లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్‌లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

ISO 9001:2015 నమోదు చేయబడింది

1. ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్లు 683490 | 2020.10.05

IP కోర్స్ Intel FPGA మల్టిప్లై యాడర్ లేదా ALTERA_MULT_ADD ALTMEMMULT
ALTMULT_ACCUM ALTMULT_ADD ALTMULT_COMPLEX
ALTSQRT
PARALLEL_ADD

ఫంక్షన్ ముగిసిందిview గుణకం-యాడర్
మెమరీ ఆధారిత స్థిర గుణకం గుణకం
గుణకం-సంచితం గుణకం-యాడర్
కాంప్లెక్స్ గుణకం
పూర్ణాంకం స్క్వేర్-రూట్
సమాంతర యాడర్

మద్దతు ఉన్న పరికరం
అర్రియా V, స్ట్రాటిక్స్ V, సైక్లోన్ V, ఇంటెల్ స్ట్రాటిక్స్ 10, ఇంటెల్ అరియా 10, ఇంటెల్ సైక్లోన్
10 GX
Arria II GX, Arria II GZ, Arria V, Intel Arria 10 (Intel Quartus® Prime Standard Edition), సైక్లోన్ IV E, సైక్లోన్ IV GX, సైక్లోన్ V, ఇంటెల్
తుఫాను 10 LP, MAX II, MAX V, MAX 10, స్ట్రాటిక్స్ IV, స్ట్రాటిక్స్ V
అర్రియా II GX, అర్రియా II GZ, సైక్లోన్ IV E, సైక్లోన్ IV GX, ఇంటెల్ సైక్లోన్ 10 LP, MAX 10, MAX II, MAX V, స్ట్రాటిక్స్ IV
అర్రియా II GX, అర్రియా II GZ, సైక్లోన్ IV E, సైక్లోన్ IV GX, ఇంటెల్ సైక్లోన్ 10 LP, MAX 10, MAX II, MAX V, స్ట్రాటిక్స్ IV
అర్రియా II GX, అర్రియా II GZ, ఇంటెల్ అర్రియా 10, అర్రియా V, అర్రియా V GZ, సైక్లోన్ IV E, సైక్లోన్ IV GX, సైక్లోన్ V, ఇంటెల్
సైక్లోన్ 10 GX, ఇంటెల్ సైక్లోన్ 10 LP, MAX 10, స్ట్రాటిక్స్ V, ఇంటెల్ స్ట్రాటిక్స్ 10
అర్రియా II GX, అర్రియా II GZ, అర్రియా V, ఇంటెల్ అరియా 10, సైక్లోన్ IV E, సైక్లోన్ IV GX,
సైక్లోన్ V, ఇంటెల్ సైక్లోన్ 10 LP, ఇంటెల్ సైక్లోన్ 10 GX, MAX II, MAX V, MAX
10, స్ట్రాటిక్స్ IV, స్ట్రాటిక్స్ V
అర్రియా II GX, అర్రియా II GZ, అర్రియా V, ఇంటెల్ అరియా 10, సైక్లోన్ IV E, సైక్లోన్ IV GX,
సైక్లోన్ V, ఇంటెల్ సైక్లోన్ 10 LP, ఇంటెల్ సైక్లోన్ 10 GX, MAX II, MAX V, MAX
10, స్ట్రాటిక్స్ IV, స్ట్రాటిక్స్ V

సంబంధిత సమాచారం
· Intel FPGAలు మరియు ప్రోగ్రామబుల్ పరికరాల విడుదల గమనికలు
· Intel FPGA IP కోర్లకు పరిచయం Intel FPGA IP కోర్ల గురించి మరింత సమాచారాన్ని అందిస్తుంది.
· ఫ్లోటింగ్-పాయింట్ IP కోర్స్ యూజర్ గైడ్ Intel FPGA ఫ్లోటింగ్-పాయింట్ IP కోర్ల గురించి మరింత సమాచారాన్ని అందిస్తుంది.
· Intel FPGA IP కోర్లకు పరిచయం అన్ని Intel FPGA IP కోర్ల గురించిన సాధారణ సమాచారాన్ని అందిస్తుంది, ఇందులో IP కోర్లను పారామిటరైజ్ చేయడం, ఉత్పత్తి చేయడం, అప్‌గ్రేడ్ చేయడం మరియు అనుకరణ చేయడం వంటివి ఉంటాయి.
· వెర్షన్-ఇండిపెండెంట్ IP మరియు Qsys అనుకరణ స్క్రిప్ట్‌లను సృష్టించడం సాఫ్ట్‌వేర్ లేదా IP వెర్షన్ అప్‌గ్రేడ్‌ల కోసం మాన్యువల్ అప్‌డేట్‌లు అవసరం లేని అనుకరణ స్క్రిప్ట్‌లను సృష్టించండి.
· ప్రాజెక్ట్ మేనేజ్‌మెంట్ మీ ప్రాజెక్ట్ మరియు IP యొక్క సమర్థవంతమైన నిర్వహణ మరియు పోర్టబిలిటీ కోసం ఉత్తమ అభ్యాసాల మార్గదర్శకాలు files.
· పూర్ణాంక అంకగణిత IP కోర్ల వినియోగదారు గైడ్ డాక్యుమెంట్ ఆర్కైవ్‌లు పేజీ 98లో పూర్ణాంక అంకగణిత IP కోర్ల యొక్క మునుపటి సంస్కరణల కోసం వినియోగదారు గైడ్‌ల జాబితాను అందిస్తుంది.

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 6

అభిప్రాయాన్ని పంపండి

683490 | 2020.10.05 అభిప్రాయాన్ని పంపండి

2. LPM_COUNTER (కౌంటర్) IP కోర్

చిత్రం 1.

LPM_COUNTER IP కోర్ అనేది బైనరీ కౌంటర్, ఇది 256 బిట్‌ల వెడల్పు గల అవుట్‌పుట్‌లతో అప్ కౌంటర్లు, డౌన్ కౌంటర్లు మరియు అప్ లేదా డౌన్ కౌంటర్‌లను సృష్టిస్తుంది.

క్రింది బొమ్మ LPM_COUNTER IP కోర్ కోసం పోర్ట్‌లను చూపుతుంది.

LPM_COUNTER పోర్ట్‌లు

LPM_COUNTER

ssclr స్లోడ్ sset డేటా[]

q[]

నవీకరణ

కోట్

aclr aload aset

clk_en cnt_en సిన్
inst

2.1 ఫీచర్లు
LPM_COUNTER IP కోర్ క్రింది లక్షణాలను అందిస్తుంది: · పైకి, క్రిందికి మరియు పైకి/క్రింది కౌంటర్‌లను రూపొందిస్తుంది · క్రింది కౌంటర్ రకాలను రూపొందిస్తుంది:
— సాదా బైనరీ– సున్నా నుండి ప్రారంభమయ్యే కౌంటర్ ఇంక్రిమెంట్లు లేదా 255 నుండి ప్రారంభమయ్యే తగ్గింపులు
— మాడ్యులస్ – వినియోగదారు పేర్కొన్న మాడ్యులస్ విలువకు కౌంటర్ ఇంక్రిమెంట్ లేదా తగ్గింపు మరియు పునరావృతం
· ఐచ్ఛిక సింక్రోనస్ క్లియర్, లోడ్ మరియు సెట్ ఇన్‌పుట్ పోర్ట్‌లకు మద్దతు ఇస్తుంది · ఐచ్ఛిక అసమకాలిక క్లియర్, లోడ్ మరియు సెట్ ఇన్‌పుట్ పోర్ట్‌లకు మద్దతు ఇస్తుంది · ఐచ్ఛిక కౌంట్ ఎనేబుల్ మరియు క్లాక్ ఎనేబుల్ ఇన్‌పుట్ పోర్ట్‌లకు మద్దతు ఇస్తుంది · ఐచ్ఛిక క్యారీ-ఇన్ మరియు క్యారీ-అవుట్ పోర్ట్‌లకు మద్దతు ఇస్తుంది

ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్‌మార్క్‌లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్‌లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

ISO 9001:2015 నమోదు చేయబడింది

2. LPM_COUNTER (కౌంటర్) IP కోర్
683490 | 2020.10.05
2.2 వెరిలాగ్ HDL ప్రోటోటైప్
కింది వెరిలాగ్ HDL ప్రోటోటైప్ వెరిలాగ్ డిజైన్‌లో ఉంది File (.v) lpm.v లో ఎడాసింథసిస్ డైరెక్టరీ.
మాడ్యూల్ lpm_counter (q, డేటా, క్లాక్, సిన్, కౌట్, clk_en, cnt_en, అప్‌డౌన్, aset, aclr, aload, sset, sclr, sload, eq ); పరామితి lpm_type = “lpm_counter”; పరామితి lpm_width = 1; పరామితి lpm_modulus = 0; పరామితి lpm_direction = “UNUSED”; పరామితి lpm_avalue = “UNUSED”; పరామితి lpm_svalue = “UNUSED”; పరామితి lpm_pvalue = “UNUSED”; పరామితి lpm_port_updown = “PORT_CONNECTIVITY”; పరామితి lpm_hint = “UNUSED”; అవుట్‌పుట్ [lpm_width-1:0] q; అవుట్పుట్ కౌట్; అవుట్పుట్ [15:0] eq; ఇన్పుట్ సిన్; ఇన్‌పుట్ [lpm_width-1:0] డేటా; ఇన్‌పుట్ క్లాక్, clk_en, cnt_en, updown; ఇన్పుట్ ఆస్తి, aclr, aload; ఇన్పుట్ sset, sclr, sload; ముగింపు మాడ్యూల్
2.3 VHDL కాంపోనెంట్ డిక్లరేషన్
VHDL కాంపోనెంట్ డిక్లరేషన్ VHDL డిజైన్‌లో ఉంది File (.vhd) LPM_PACK.vhd librariesvhdllpm డైరెక్టరీ.
భాగం LPM_COUNTER జెనరిక్ ( LPM_WIDTH : సహజం; LPM_MODULUS : సహజం := 0; LPM_DIRECTION : స్ట్రింగ్ := “యూఎస్డ్ . పోర్ట్ (DATA : std_logic_vector (LPM_WIDTH-1 డౌన్ టు 0):= (OTHERS =>
'0'); CLOCK: std_logicలో; CLK_EN : std_logic లో := '1'; CNT_EN : std_logic లో := '1'; UPDOWN : std_logic లో := '1'; SLOAD : std_logic లో := '0'; SSET : std_logic లో := '0'; SCLR : std_logic లో := '0'; ALOAD : std_logic లో := '0'; ASET : std_logic లో := '0'; ACLR : std_logic లో := '0'; CIN : std_logic := '1'; COUT : అవుట్ std_logic := '0'; Q : అవుట్ std_logic_vector(LPM_WIDTH-1 డౌన్ టు 0); EQ : అవుట్ std_logic_vector(15 డౌన్ టు 0));
ముగింపు భాగం;

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 8

అభిప్రాయాన్ని పంపండి

2. LPM_COUNTER (కౌంటర్) IP కోర్ 683490 | 2020.10.05

2.4 VHDL LIBRARY_USE డిక్లరేషన్
మీరు VHDL కాంపోనెంట్ డిక్లరేషన్‌ని ఉపయోగిస్తే VHDL లైబ్రరీ-యూజ్ డిక్లరేషన్ అవసరం లేదు.
లైబ్రరీ lpm; lpm.lpm_components.all ఉపయోగించండి;

2.5. పోర్టులు

క్రింది పట్టికలు LPM_COUNTER IP కోర్ కోసం ఇన్‌పుట్ మరియు అవుట్‌పుట్ పోర్ట్‌లను జాబితా చేస్తాయి.

పట్టిక 2.

LPM_COUNTER ఇన్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు

అవసరం

వివరణ

సమాచారం[]

నం

కౌంటర్‌కి సమాంతర డేటా ఇన్‌పుట్. ఇన్‌పుట్ పోర్ట్ పరిమాణం LPM_WIDTH పరామితి విలువపై ఆధారపడి ఉంటుంది.

గడియారం

అవును

పాజిటివ్-ఎడ్జ్-ట్రిగ్గర్డ్ క్లాక్ ఇన్‌పుట్.

clk_en

నం

అన్ని సమకాలిక కార్యకలాపాలను ప్రారంభించడానికి గడియారం ఇన్‌పుట్‌ను ఎనేబుల్ చేస్తుంది. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ 1.

cnt_en

నం

స్లోడ్, sset లేదా sclrపై ప్రభావం చూపకుండా తక్కువ అని నొక్కిచెప్పినప్పుడు గణనను నిలిపివేయడానికి కౌంట్ ఇన్‌పుట్‌ని ప్రారంభించండి. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ 1.

నవీకరణ

నం

గణన దిశను నియంత్రిస్తుంది. అధిక (1) అని నొక్కిచెప్పినప్పుడు, గణన దిశ ఎక్కువగా ఉంటుంది మరియు తక్కువ (0) అని నొక్కినప్పుడు, గణన దిశ డౌన్ అవుతుంది. LPM_DIRECTION పరామితిని ఉపయోగించినట్లయితే, అప్‌డౌన్ పోర్ట్ కనెక్ట్ చేయబడదు. LPM_DIRECTION ఉపయోగించకపోతే, అప్‌డౌన్ పోర్ట్ ఐచ్ఛికం. విస్మరించబడితే, డిఫాల్ట్ విలువ ఎక్కువగా ఉంటుంది (1).

సిన్

నం

తక్కువ-ఆర్డర్ బిట్‌కి క్యారీ-ఇన్ చేయండి. అప్ కౌంటర్ల కోసం, సిన్ ఇన్‌పుట్ యొక్క ప్రవర్తన

cnt_en ఇన్‌పుట్ ప్రవర్తనకు సమానంగా ఉంటుంది. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ 1

(VCC).

aclr

నం

అసమకాలిక స్పష్టమైన ఇన్‌పుట్. aset మరియు aclr రెండూ ఉపయోగించబడి మరియు నొక్కిచెప్పబడితే, aclr ఆస్తిని భర్తీ చేస్తుంది. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ 0 (డిజేబుల్ చేయబడింది).

ఆస్తి

నం

అసమకాలిక సెట్ ఇన్‌పుట్. q[] అవుట్‌పుట్‌లను అన్ని 1సెలుగా లేదా LPM_AVALUE పరామితి ద్వారా పేర్కొన్న విలువకు పేర్కొంటుంది. aset మరియు aclr పోర్ట్‌లు రెండూ ఉపయోగించబడి, నొక్కిచెప్పబడితే, aclr పోర్ట్ విలువ ఆస్తి పోర్ట్ విలువను భర్తీ చేస్తుంది. విస్మరించబడితే, డిఫాల్ట్ విలువ 0, నిలిపివేయబడుతుంది.

ఎక్కించు

నం

డేటా ఇన్‌పుట్‌లోని విలువతో కౌంటర్‌ను అసమకాలికంగా లోడ్ చేసే అసమకాలిక లోడ్ ఇన్‌పుట్. అలోడ్ పోర్ట్ ఉపయోగించినప్పుడు, డేటా[] పోర్ట్ తప్పనిసరిగా కనెక్ట్ చేయబడాలి. విస్మరించబడితే, డిఫాల్ట్ విలువ 0, నిలిపివేయబడుతుంది.

sclr

నం

తదుపరి యాక్టివ్ క్లాక్ ఎడ్జ్‌లో కౌంటర్‌ను క్లియర్ చేసే సింక్రోనస్ క్లియర్ ఇన్‌పుట్. sset మరియు sclr పోర్ట్‌లు రెండూ ఉపయోగించబడి మరియు నొక్కిచెప్పబడితే, sclr పోర్ట్ విలువ sset పోర్ట్ విలువను భర్తీ చేస్తుంది. విస్మరించబడితే, డిఫాల్ట్ విలువ 0, నిలిపివేయబడుతుంది.

సెట్

నం

తదుపరి సక్రియ గడియారం అంచున కౌంటర్‌ను సెట్ చేసే సమకాలీకరణ సెట్ ఇన్‌పుట్. q అవుట్‌పుట్‌ల విలువను అన్ని 1సెలుగా లేదా LPM_SVALUE పరామితి ద్వారా పేర్కొన్న విలువకు పేర్కొంటుంది. sset మరియు sclr పోర్ట్‌లు రెండింటినీ ఉపయోగించినట్లయితే మరియు నొక్కిచెప్పినట్లయితే,
sclr పోర్ట్ విలువ sset పోర్ట్ విలువను భర్తీ చేస్తుంది. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ 0 (డిజేబుల్ చేయబడింది).

స్లోడ్

నం

తదుపరి యాక్టివ్ క్లాక్ ఎడ్జ్‌లో డేటా[]తో కౌంటర్‌ను లోడ్ చేసే సింక్రోనస్ లోడ్ ఇన్‌పుట్. స్లోడ్ పోర్ట్ ఉపయోగించినప్పుడు, డేటా[] పోర్ట్ తప్పనిసరిగా కనెక్ట్ చేయబడాలి. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ 0 (డిజేబుల్ చేయబడింది).

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 9

2. LPM_COUNTER (కౌంటర్) IP కోర్ 683490 | 2020.10.05

పట్టిక 3.

LPM_COUNTER అవుట్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు

అవసరం

వివరణ

q[]

నం

కౌంటర్ నుండి డేటా అవుట్‌పుట్. అవుట్‌పుట్ పోర్ట్ పరిమాణం ఆధారపడి ఉంటుంది

LPM_WIDTH పరామితి విలువ. q[] లేదా కనీసం eq[15..0] పోర్ట్‌లలో ఒకటి

కనెక్ట్ అయి ఉండాలి.

eq[15..0]

నం

కౌంటర్ డీకోడ్ అవుట్‌పుట్. పారామీటర్ ఎడిటర్‌లో eq[15..0] పోర్ట్ యాక్సెస్ చేయబడదు ఎందుకంటే పారామీటర్ AHDLకి మాత్రమే మద్దతు ఇస్తుంది.
q[] పోర్ట్ లేదా eq[] పోర్ట్ తప్పనిసరిగా కనెక్ట్ చేయబడాలి. c eq పోర్ట్‌ల వరకు ఉపయోగించవచ్చు (0 <= c <= 15). 16 అత్యల్ప గణన విలువలు మాత్రమే డీకోడ్ చేయబడ్డాయి. గణన విలువ c అయినప్పుడు, eqc అవుట్‌పుట్ అధికం (1) అని చెప్పబడుతుంది. ఉదాహరణకుample, గణన 0 అయినప్పుడు, eq0 = 1, గణన 1 అయినప్పుడు, eq1 = 1, మరియు గణన 15 అయినప్పుడు, eq 15 = 1. 16 లేదా అంతకంటే ఎక్కువ గణన విలువల కోసం డీకోడ్ చేసిన అవుట్‌పుట్‌కు బాహ్య డీకోడింగ్ అవసరం. eq[15..0] అవుట్‌పుట్‌లు q[] అవుట్‌పుట్‌కు అసమకాలికంగా ఉంటాయి.

కోట్

నం

కౌంటర్ యొక్క MSB బిట్ యొక్క క్యారీ-అవుట్ పోర్ట్. పెద్ద కౌంటర్‌ని సృష్టించడానికి మరొక కౌంటర్‌కి కనెక్ట్ చేయడానికి దీనిని ఉపయోగించవచ్చు.

2.6. పారామితులు

క్రింది పట్టిక LPM_COUNTER IP కోర్ కోసం పారామితులను జాబితా చేస్తుంది.

పట్టిక 4.

LPM_COUNTER పారామితులు

పారామీటర్ పేరు

టైప్ చేయండి

LPM_WIDTH

పూర్ణాంకం

LPM_DIRECTION

స్ట్రింగ్

LPM_MODULUS LPM_AVALUE

పూర్ణాంకం
పూర్ణాంకం/ స్ట్రింగ్

LPM_SVALUE LPM_HINT

పూర్ణాంకం/ స్ట్రింగ్
స్ట్రింగ్

LPM_TYPE

స్ట్రింగ్

అవసరం అవును కాదు కాదు కాదు
కాదు కాదు
నం

వివరణ
డేటా[] మరియు q[] పోర్ట్‌లు ఉపయోగించినట్లయితే వాటి వెడల్పులను పేర్కొంటుంది.
విలువలు పైకి, క్రిందికి మరియు ఉపయోగించనివి. LPM_DIRECTION పరామితిని ఉపయోగించినట్లయితే, అప్‌డౌన్ పోర్ట్ కనెక్ట్ చేయబడదు. అప్‌డౌన్ పోర్ట్ కనెక్ట్ కానప్పుడు, LPM_DIRECTION పరామితి డిఫాల్ట్ విలువ UPగా ఉంటుంది.
గరిష్ట గణన, ప్లస్ వన్. కౌంటర్ చక్రంలో ప్రత్యేక రాష్ట్రాల సంఖ్య. లోడ్ విలువ LPM_MODULUS పరామితి కంటే పెద్దగా ఉంటే, కౌంటర్ యొక్క ప్రవర్తన పేర్కొనబడదు.
స్థిరమైన విలువ ఆస్థి ఎక్కువగా నొక్కి చెప్పబడినప్పుడు లోడ్ చేయబడుతుంది. పేర్కొన్న విలువ దాని కంటే పెద్దది లేదా సమానంగా ఉంటే , కౌంటర్ యొక్క ప్రవర్తన నిర్వచించబడని (X) లాజిక్ స్థాయి, ఇక్కడ ఉంటే LPM_MODULUS, లేదా 2 ^ LPM_WIDTH. మీరు AHDL డిజైన్‌ల కోసం ఈ విలువను దశాంశ సంఖ్యగా పేర్కొనాలని Intel సిఫార్సు చేస్తోంది.
sset పోర్ట్ ఎక్కువగా నొక్కి చెప్పబడినప్పుడు క్లాక్ పోర్ట్ యొక్క రైజింగ్ ఎడ్జ్‌లో లోడ్ అయ్యే స్థిరమైన విలువ. మీరు AHDL డిజైన్‌ల కోసం ఈ విలువను దశాంశ సంఖ్యగా పేర్కొనాలని Intel సిఫార్సు చేస్తోంది.
మీరు VHDL డిజైన్‌లో పారామిటరైజ్డ్ మాడ్యూల్స్ (LPM) ఫంక్షన్‌ల లైబ్రరీని ఇన్‌స్టంట్ చేసినప్పుడు File (.vhd), మీరు Intel-నిర్దిష్ట పరామితిని పేర్కొనడానికి LPM_HINT పరామితిని తప్పనిసరిగా ఉపయోగించాలి. ఉదాహరణకుample: LPM_HINT = “CHAIN_SIZE = 8, ONE_INPUT_IS_CONSTANT = అవును”
డిఫాల్ట్ విలువ UNUSED.
VHDL డిజైన్‌లో పారామీటర్ చేయబడిన మాడ్యూల్స్ (LPM) ఎంటిటీ పేరు యొక్క లైబ్రరీని గుర్తిస్తుంది files.
కొనసాగింది…

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 10

అభిప్రాయాన్ని పంపండి

2. LPM_COUNTER (కౌంటర్) IP కోర్ 683490 | 2020.10.05

పారామీటర్ పేరు INTENDED_DEVICE_FAMILY CARRY_CNT_EN
LABWIDE_SCLR
LPM_PORT_UPDOWN

స్ట్రింగ్ స్ట్రింగ్ టైప్ చేయండి
స్ట్రింగ్
స్ట్రింగ్

అవసరమైన సంఖ్య సంఖ్య
నం
నం

వివరణ
ఈ పరామితి మోడలింగ్ మరియు ప్రవర్తనా అనుకరణ ప్రయోజనాల కోసం ఉపయోగించబడుతుంది. ఈ పరామితి మోడలింగ్ మరియు ప్రవర్తనా అనుకరణ ప్రయోజనాల కోసం ఉపయోగించబడుతుంది. పారామీటర్ ఎడిటర్ ఈ పరామితి కోసం విలువను గణిస్తుంది.
ఇంటెల్-నిర్దిష్ట పరామితి. VHDL డిజైన్‌లో CARRY_CNT_EN పరామితిని పేర్కొనడానికి మీరు తప్పనిసరిగా LPM_HINT పరామితిని ఉపయోగించాలి fileలు. విలువలు స్మార్ట్, ఆన్, ఆఫ్ మరియు ఉపయోగించనివి. క్యారీ చైన్ ద్వారా cnt_en సిగ్నల్‌ని ప్రచారం చేయడానికి LPM_COUNTER ఫంక్షన్‌ని ప్రారంభిస్తుంది. కొన్ని సందర్భాల్లో, CARRY_CNT_EN పరామితి సెట్టింగ్ వేగంపై కొంచెం ప్రభావం చూపవచ్చు, కాబట్టి మీరు దీన్ని ఆఫ్ చేయాలనుకోవచ్చు. డిఫాల్ట్ విలువ SMART, ఇది పరిమాణం మరియు వేగం మధ్య అత్యుత్తమ ట్రేడ్-ఆఫ్‌ను అందిస్తుంది.
ఇంటెల్-నిర్దిష్ట పరామితి. VHDL డిజైన్‌లో LABWIDE_SCLR పరామితిని పేర్కొనడానికి మీరు తప్పనిసరిగా LPM_HINT పరామితిని ఉపయోగించాలి fileలు. విలువలు ఆన్‌లో ఉన్నాయి, ఆఫ్‌లో ఉన్నాయి లేదా ఉపయోగించబడలేదు. డిఫాల్ట్ విలువ ఆన్‌లో ఉంది. వాడుకలో లేని పరికర కుటుంబాలలో కనుగొనబడిన LABwide sclr ఫీచర్ వినియోగాన్ని నిలిపివేయడానికి మిమ్మల్ని అనుమతిస్తుంది. ఈ ఎంపికను ఆఫ్ చేయడం వలన పాక్షికంగా నింపబడిన LABలను పూర్తిగా ఉపయోగించుకునే అవకాశాలు పెరుగుతాయి మరియు పూర్తి LABకి SCLR వర్తించనప్పుడు అధిక లాజిక్ సాంద్రతను అనుమతించవచ్చు. ఈ పారామీటర్ బ్యాక్‌వర్డ్ కంపాటబిలిటీ కోసం అందుబాటులో ఉంది మరియు ఈ పరామితిని ఉపయోగించవద్దని ఇంటెల్ మీకు సిఫార్సు చేస్తోంది.
అప్‌డౌన్ ఇన్‌పుట్ పోర్ట్ వినియోగాన్ని నిర్దేశిస్తుంది. తొలగించబడితే డిఫాల్ట్ విలువ PORT_CONNECTIVITY. పోర్ట్ విలువ PORT_USEDకి సెట్ చేయబడినప్పుడు, పోర్ట్ ఉపయోగించినట్లుగా పరిగణించబడుతుంది. పోర్ట్ విలువ PORT_UNUSEDకి సెట్ చేయబడినప్పుడు, పోర్ట్ ఉపయోగించనిదిగా పరిగణించబడుతుంది. పోర్ట్ విలువ PORT_CONNECTIVITYకి సెట్ చేయబడినప్పుడు, పోర్ట్ కనెక్టివిటీని తనిఖీ చేయడం ద్వారా పోర్ట్ వినియోగం నిర్ణయించబడుతుంది.

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 11

683490 | 2020.10.05 అభిప్రాయాన్ని పంపండి

3. LPM_DIVIDE (డివైడర్) Intel FPGA IP కోర్

చిత్రం 2.

LPM_DIVIDE Intel FPGA IP కోర్ ఒక గుణకం ఇన్‌పుట్ విలువను హారం ఇన్‌పుట్ విలువతో విభజించి ఒక కోటీన్‌ను మరియు శేషాన్ని ఉత్పత్తి చేయడానికి డివైడర్‌ను అమలు చేస్తుంది.

క్రింది బొమ్మ LPM_DIVIDE IP కోర్ కోసం పోర్ట్‌లను చూపుతుంది.

LPM_DIVIDE పోర్ట్‌లు

LPM_DIVIDE

సంఖ్య[] denom[] గడియారం

భాగం[] మిగిలి ఉంది[]

clken aclr

inst

3.1 ఫీచర్లు
LPM_DIVIDE IP కోర్ క్రింది లక్షణాలను అందిస్తుంది: · ఒక డినామినేటర్ ఇన్‌పుట్ ద్వారా న్యూమరేటర్ ఇన్‌పుట్ విలువను విభజించే డివైడర్‌ను రూపొందిస్తుంది
ఒక భాగం మరియు శేషాన్ని ఉత్పత్తి చేయడానికి విలువ. · 1 బిట్‌ల డేటా వెడల్పుకు మద్దతు ఇస్తుంది. · రెండు న్యూమరేటర్ కోసం సంతకం చేయబడిన మరియు సంతకం చేయని డేటా ప్రాతినిధ్య ఆకృతికి మద్దతు ఇస్తుంది
మరియు హారం విలువలు. · ప్రాంతం లేదా స్పీడ్ ఆప్టిమైజేషన్‌కు మద్దతు ఇస్తుంది. · సానుకూల మిగిలిన అవుట్‌పుట్‌ను పేర్కొనడానికి ఒక ఎంపికను అందిస్తుంది. · పైప్‌లైనింగ్ కాన్ఫిగర్ చేయదగిన అవుట్‌పుట్ లేటెన్సీకి మద్దతు ఇస్తుంది. · ఐచ్ఛిక అసమకాలిక క్లియర్ మరియు క్లాక్ ఎనేబుల్ పోర్ట్‌లకు మద్దతు ఇస్తుంది.

3.2 వెరిలాగ్ HDL ప్రోటోటైప్
కింది వెరిలాగ్ HDL ప్రోటోటైప్ వెరిలాగ్ డిజైన్‌లో ఉంది File (.v) lpm.v లో ఎడాసింథసిస్ డైరెక్టరీ.
మాడ్యూల్ lpm_divide ( quotient, మిగిలి, సంఖ్య, denom, గడియారం, clken, aclr); పరామితి lpm_type = “lpm_divide”; పరామితి lpm_widthn = 1; పరామితి lpm_widthd = 1; పరామితి lpm_nrepresentation = “UNSIGNED”; పరామితి lpm_drepresentation = “UNSIGNED”; పరామితి lpm_remainderpositive = “TRUE”; పరామితి lpm_pipeline = 0;

ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్‌మార్క్‌లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్‌లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

ISO 9001:2015 నమోదు చేయబడింది

3. LPM_DIVIDE (డివైడర్) ఇంటెల్ FPGA IP కోర్ 683490 | 2020.10.05

పరామితి lpm_hint = “UNUSED”; ఇన్పుట్ గడియారం; ఇన్పుట్ క్కెన్; ఇన్పుట్ aclr; ఇన్‌పుట్ [lpm_widthn-1:0] సంఖ్య; ఇన్‌పుట్ [lpm_widthd-1:0] denom; అవుట్‌పుట్ [lpm_widthn-1:0] quotient; అవుట్‌పుట్ [lpm_widthd-1:0] మిగిలి ఉంది; ముగింపు మాడ్యూల్

3.3 VHDL కాంపోనెంట్ డిక్లరేషన్
VHDL కాంపోనెంట్ డిక్లరేషన్ VHDL డిజైన్‌లో ఉంది File (.vhd) LPM_PACK.vhd librariesvhdllpm డైరెక్టరీ.
భాగం LPM_DIVIDE జెనరిక్ (LPM_WIDTHN : సహజం; LPM_WIDTHD : సహజమైనది;
LPM_NREPRESENTATION : స్ట్రింగ్ := “UNSIGNED”; LPM_DREPRESENTATION : స్ట్రింగ్ := “UNSIGNED”; LPM_PIPELINE : సహజం := 0; LPM_TYPE : స్ట్రింగ్ := L_DIVIDE; LPM_HINT : స్ట్రింగ్ := “ఉపయోగించబడలేదు”); పోర్ట్ (NUMER : std_logic_vector (LPM_WIDTHN-1 డౌన్ టు 0); DENOM: std_logic_vector (LPM_WIDTHD-1 డౌన్ టు 0); ACLR: std_logic లో := '0'; CLOCK : stdlogic లో := 'Kd_logic; := '0'; QUOTIENT : అవుట్ std_logic_vector(LPM_WIDTHN-1 డౌన్ టు 1); REMAIN : అవుట్ std_logic_vector(LPM_WIDTHD-0 డౌన్ టు 1)); ముగింపు భాగం;

3.4 VHDL LIBRARY_USE డిక్లరేషన్
మీరు VHDL కాంపోనెంట్ డిక్లరేషన్‌ని ఉపయోగిస్తే VHDL లైబ్రరీ-యూజ్ డిక్లరేషన్ అవసరం లేదు.
లైబ్రరీ lpm; lpm.lpm_components.all ఉపయోగించండి;

3.5. పోర్టులు

క్రింది పట్టికలు LPM_DIVIDE IP కోర్ కోసం ఇన్‌పుట్ మరియు అవుట్‌పుట్ పోర్ట్‌లను జాబితా చేస్తాయి.

పట్టిక 5.

LPM_DIVIDE ఇన్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు

అవసరం

సంఖ్య[]

అవును

తెగ[]

అవును

వివరణ
న్యూమరేటర్ డేటా ఇన్‌పుట్. ఇన్‌పుట్ పోర్ట్ పరిమాణం LPM_WIDTHN పరామితి విలువపై ఆధారపడి ఉంటుంది.
హారం డేటా ఇన్‌పుట్. ఇన్‌పుట్ పోర్ట్ పరిమాణం LPM_WIDTHD పరామితి విలువపై ఆధారపడి ఉంటుంది.
కొనసాగింది…

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 13

3. LPM_DIVIDE (డివైడర్) ఇంటెల్ FPGA IP కోర్ 683490 | 2020.10.05

పోర్ట్ పేరు క్లాక్ క్కెన్
aclr

అవసరమైన సంఖ్య సంఖ్య
నం

వివరణ
పైప్‌లైన్ వినియోగం కోసం క్లాక్ ఇన్‌పుట్. 0 (డిఫాల్ట్) కాకుండా ఇతర LPM_PIPELINE విలువల కోసం, క్లాక్ పోర్ట్ తప్పనిసరిగా ప్రారంభించబడాలి.
గడియారం పైప్‌లైన్ వినియోగాన్ని ఎనేబుల్ చేస్తుంది. క్లెకెన్ పోర్ట్ అధికమని నొక్కిచెప్పినప్పుడు, విభజన ఆపరేషన్ జరుగుతుంది. సిగ్నల్ తక్కువగా ఉన్నప్పుడు, ఎటువంటి ఆపరేషన్ జరగదు. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ 1.
పైప్‌లైన్‌ను అన్ని '0'లకు అసమకాలికంగా క్లాక్ ఇన్‌పుట్‌కి రీసెట్ చేయడానికి ఏ సమయంలోనైనా అసమకాలిక స్పష్టమైన పోర్ట్ ఉపయోగించబడుతుంది.

పట్టిక 6.

LPM_DIVIDE అవుట్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు

అవసరం

వివరణ

భాగం[]

అవును

డేటా అవుట్‌పుట్. అవుట్‌పుట్ పోర్ట్ పరిమాణం LPM_WIDTHNపై ఆధారపడి ఉంటుంది

పరామితి విలువ.

మిగిలి[]

అవును

డేటా అవుట్‌పుట్. అవుట్‌పుట్ పోర్ట్ పరిమాణం LPM_WIDTHDపై ఆధారపడి ఉంటుంది

పరామితి విలువ.

3.6. పారామితులు

క్రింది పట్టిక LPM_DIVIDE Intel FPGA IP కోర్ కోసం పారామితులను జాబితా చేస్తుంది.

పారామీటర్ పేరు

టైప్ చేయండి

అవసరం

వివరణ

LPM_WIDTHN

పూర్ణాంకం

అవును

సంఖ్య[] వెడల్పులను పేర్కొంటుంది మరియు

quotient[] పోర్ట్‌లు. విలువలు 1 నుండి 64 వరకు ఉంటాయి.

LPM_WIDTHD

పూర్ణాంకం

అవును

డెనోమ్[] వెడల్పులను పేర్కొంటుంది మరియు

పోర్ట్‌లు మిగిలి ఉన్నాయి. విలువలు 1 నుండి 64 వరకు ఉంటాయి.

LPM_NREPRESENTATION LPM_DREPRESENTATION

స్ట్రింగ్ స్ట్రింగ్

నం

న్యూమరేటర్ ఇన్‌పుట్ యొక్క సైన్ ప్రాతినిధ్యం.

విలువలు సంతకం చేయబడ్డాయి మరియు అన్‌సైన్డ్ చేయబడ్డాయి. ఇది ఎప్పుడు

పరామితి SIGNEDకి సెట్ చేయబడింది, డివైడర్

సంఖ్య[] ఇన్‌పుట్‌ను సంతకం చేసిన రెండుగా అర్థం చేసుకుంటుంది

పూరకంగా.

నం

హారం ఇన్‌పుట్ యొక్క సైన్ ప్రాతినిధ్యం.

విలువలు సంతకం చేయబడ్డాయి మరియు అన్‌సైన్డ్ చేయబడ్డాయి. ఇది ఎప్పుడు

పరామితి SIGNEDకి సెట్ చేయబడింది, డివైడర్

డెనోమ్[] ఇన్‌పుట్‌ను సంతకం చేసిన రెండుగా అన్వయిస్తుంది

పూరకంగా.

LPM_TYPE

స్ట్రింగ్

నం

పారామీటర్ చేయబడిన లైబ్రరీని గుర్తిస్తుంది

VHDL డిజైన్‌లో మాడ్యూల్స్ (LPM) ఎంటిటీ పేరు

files (.vhd).

LPM_HINT

స్ట్రింగ్

నం

మీరు లైబ్రరీని ఇన్‌స్టాంటియేట్ చేసినప్పుడు

పారామీటరైజ్డ్ మాడ్యూల్స్ (LPM) ఫంక్షన్‌లో a

VHDL డిజైన్ File (.vhd), మీరు తప్పక ఉపయోగించాలి

Intel-ని పేర్కొనడానికి LPM_HINT పరామితి

నిర్దిష్ట పరామితి. ఉదాహరణకుample: LPM_HINT

= “CHAIN_SIZE = 8,

ONE_INPUT_IS_CONSTANT = అవును” ది

డిఫాల్ట్ విలువ ఉపయోగించబడలేదు.

LPM_REMAINDERPOSITIVE

స్ట్రింగ్

నం

ఇంటెల్-నిర్దిష్ట పరామితి. మీరు తప్పక ఉపయోగించాలి

పేర్కొనడానికి LPM_HINT పరామితి

లో LPM_REMAINDERPOSITIVE పరామితి

VHDL డిజైన్ fileలు. విలువలు TRUE లేదా FALSE.

ఈ పరామితి TRUEకి సెట్ చేయబడితే, అప్పుడు ది

మిగిలిన[] పోర్ట్ విలువ తప్పనిసరిగా ఎక్కువగా ఉండాలి

కొనసాగింది…

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 14

అభిప్రాయాన్ని పంపండి

3. LPM_DIVIDE (డివైడర్) ఇంటెల్ FPGA IP కోర్ 683490 | 2020.10.05

పారామీటర్ పేరు

టైప్ చేయండి

MAXIMIZE_SPEED

పూర్ణాంకం

LPM_PIPELINE

పూర్ణాంకం

INTENDED_DEVICE_FAMILY SKIP_BITS

స్ట్రింగ్ పూర్ణాంకం

అవసరమైన సంఖ్య
కాదు కాదు కాదు

వివరణ
సున్నా కంటే లేదా సమానం. ఈ పరామితి TRUEకి సెట్ చేయబడితే, మిగిలి ఉన్న[] పోర్ట్ యొక్క విలువ సున్నా లేదా సంఖ్య పోర్ట్ యొక్క విలువ వలె ధనాత్మకమైన లేదా ప్రతికూలమైన అదే సంకేతం. విస్తీర్ణాన్ని తగ్గించడానికి మరియు వేగాన్ని మెరుగుపరచడానికి, ఇంటెల్ ఈ పరామితిని TRUEకి సెట్ చేయమని సిఫార్సు చేస్తుంది, ఇక్కడ మిగిలినవి సానుకూలంగా ఉండాలి లేదా మిగిలినవి ముఖ్యమైనవి కావు.
ఇంటెల్-నిర్దిష్ట పరామితి. VHDL డిజైన్‌లో MAXIMIZE_SPEED పరామితిని పేర్కొనడానికి మీరు తప్పనిసరిగా LPM_HINT పరామితిని ఉపయోగించాలి fileలు. విలువలు [0..9]. ఉపయోగించినట్లయితే, ఇంటెల్ క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ LPM_DIVIDE ఫంక్షన్ యొక్క నిర్దిష్ట ఉదాహరణను రూటబిలిటీకి బదులుగా వేగం కోసం ఆప్టిమైజ్ చేయడానికి ప్రయత్నిస్తుంది మరియు ఆప్టిమైజేషన్ టెక్నిక్ లాజిక్ ఎంపిక సెట్టింగ్‌ను భర్తీ చేస్తుంది. MAXIMIZE_SPEED ఉపయోగించబడకపోతే, ఆప్టిమైజేషన్ టెక్నిక్ ఎంపిక యొక్క విలువ బదులుగా ఉపయోగించబడుతుంది. MAXIMIZE_SPEED విలువ 6 లేదా అంతకంటే ఎక్కువ ఉంటే, కంపైలర్ క్యారీ చైన్‌లను ఉపయోగించడం ద్వారా అధిక వేగం కోసం LPM_DIVIDE IP కోర్‌ను ఆప్టిమైజ్ చేస్తుంది; విలువ 5 లేదా అంతకంటే తక్కువ ఉంటే, కంపైలర్ క్యారీ చైన్‌లు లేకుండా డిజైన్‌ను అమలు చేస్తుంది.
గుణకం[] మరియు మిగిలి ఉన్న[] అవుట్‌పుట్‌లతో అనుబంధించబడిన జాప్యం యొక్క గడియార చక్రాల సంఖ్యను పేర్కొంటుంది. సున్నా (0) విలువ ఎటువంటి జాప్యం లేదని మరియు పూర్తిగా కలయిక ఫంక్షన్ తక్షణం చేయబడిందని సూచిస్తుంది. విస్మరించబడితే, డిఫాల్ట్ విలువ 0 (నాన్‌పైప్‌లైన్డ్) మీరు LPM_PIPELINE పరామితి కోసం LPM_WIDTHN కంటే ఎక్కువ విలువను పేర్కొనలేరు.
ఈ పరామితి మోడలింగ్ మరియు ప్రవర్తనా అనుకరణ ప్రయోజనాల కోసం ఉపయోగించబడుతుంది. పారామీటర్ ఎడిటర్ ఈ పరామితి కోసం విలువను గణిస్తుంది.
LPM_DIVIDE IP కోర్‌కి లీడింగ్ GND సంఖ్యను అందించడం ద్వారా లీడింగ్ బిట్‌లపై లాజిక్‌ను ఆప్టిమైజ్ చేయడానికి మరింత సమర్థవంతమైన ఫ్రాక్షనల్ బిట్ డివిజన్‌ను అనుమతిస్తుంది. ఈ పారామీటర్‌కు గుణాత్మక అవుట్‌పుట్‌పై లీడింగ్ GND సంఖ్యను పేర్కొనండి.

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 15

683490 | 2020.10.05 అభిప్రాయాన్ని పంపండి

4. LPM_MULT (మల్టిప్లైయర్) IP కోర్

చిత్రం 3.

LPM_MULT IP కోర్ ఉత్పత్తిని అవుట్‌పుట్‌గా ఉత్పత్తి చేయడానికి రెండు ఇన్‌పుట్ డేటా విలువలను గుణించడానికి గుణకాన్ని అమలు చేస్తుంది.

క్రింది బొమ్మ LPM_MULT IP కోర్ కోసం పోర్ట్‌లను చూపుతుంది.

LPM_Mult పోర్ట్‌లు

LPM_MULT క్లాక్ డేటా[] ఫలితం[] డేటాబ్[] aclr/sclr clken
inst

పేజీ 71లో సంబంధిత సమాచార ఫీచర్లు

4.1 ఫీచర్లు
LPM_MULT IP కోర్ క్రింది లక్షణాలను అందిస్తుంది: · రెండు ఇన్‌పుట్ డేటా విలువలను గుణించే గుణకాన్ని రూపొందిస్తుంది · 1 బిట్‌ల డేటా వెడల్పుకు మద్దతు ఇస్తుంది · సంతకం చేయబడిన మరియు సంతకం చేయని డేటా ప్రాతినిధ్య ఆకృతికి మద్దతు ఇస్తుంది · ప్రాంతం లేదా స్పీడ్ ఆప్టిమైజేషన్‌కు మద్దతు ఇస్తుంది · కాన్ఫిగర్ చేయగల అవుట్‌పుట్‌తో పైప్‌లైనింగ్‌కు మద్దతు ఇస్తుంది అంకితమైన డిజిటల్ సిగ్నల్ ప్రాసెసింగ్ (DSP)లో అమలు కోసం ఎంపిక
బ్లాక్ సర్క్యూట్రీ లేదా లాజిక్ ఎలిమెంట్స్ (LEs) గమనిక: స్థానికంగా మద్దతు ఇచ్చే పరిమాణం కంటే పెద్ద మల్టిప్లైయర్‌లను నిర్మిస్తున్నప్పుడు/
DSP బ్లాక్‌ల క్యాస్కేడింగ్ ఫలితంగా పనితీరు ప్రభావం ఉంటుంది. · ఐచ్ఛిక అసమకాలిక క్లియర్ మరియు క్లాక్ ఎనేబుల్ ఇన్‌పుట్ పోర్ట్‌లకు మద్దతు ఇస్తుంది · Intel Stratix 10, Intel Arria 10 మరియు Intel సైక్లోన్ 10 GX పరికరాల కోసం ఐచ్ఛిక సమకాలీకరణ క్లియర్‌కు మద్దతు ఇస్తుంది

ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్‌మార్క్‌లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్‌లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

ISO 9001:2015 నమోదు చేయబడింది

4. LPM_MULT (మల్టిప్లైయర్) IP కోర్ 683490 | 2020.10.05
4.2 వెరిలాగ్ HDL ప్రోటోటైప్
కింది వెరిలాగ్ HDL ప్రోటోటైప్ వెరిలాగ్ డిజైన్‌లో ఉంది File (.v) lpm.v లో ఎడాసింథసిస్ డైరెక్టరీ.
మాడ్యూల్ lpm_mult (ఫలితం, dataa, datab, sum, clock, clken, aclr ) పారామితి lpm_type = “lpm_mult”; పరామితి lpm_widtha = 1; పరామితి lpm_widthb = 1; పరామితి lpm_widths = 1; పరామితి lpm_widthp = 1; పరామితి lpm_representation = “UNSIGNED”; పరామితి lpm_pipeline = 0; పరామితి lpm_hint = “UNUSED”; ఇన్పుట్ గడియారం; ఇన్పుట్ క్కెన్; ఇన్పుట్ aclr; ఇన్‌పుట్ [lpm_widtha-1:0] dataa; ఇన్‌పుట్ [lpm_widthb-1:0] డేటాబ్; ఇన్‌పుట్ [lpm_widths-1:0] మొత్తం; అవుట్‌పుట్ [lpm_widthp-1:0] ఫలితం; ముగింపు మాడ్యూల్
4.3 VHDL కాంపోనెంట్ డిక్లరేషన్
VHDL కాంపోనెంట్ డిక్లరేషన్ VHDL డిజైన్‌లో ఉంది File (.vhd) LPM_PACK.vhd librariesvhdllpm డైరెక్టరీ.
భాగం LPM_MULT సాధారణ (LPM_WIDTHA: సహజ; LPM_WIDTHB: సహజ; LPM_WIDTHS: సహజ:= 1; LPM_WIDTHP: సహజ;
LPM_REPRESENTATION : స్ట్రింగ్ := “UNSIGNED”; LPM_PIPELINE : సహజం := 0; LPM_TYPE: స్ట్రింగ్ := L_MULT; LPM_HINT : స్ట్రింగ్ := “ఉపయోగించబడలేదు”); పోర్ట్ (DATAA: std_logic_vectorలో (LPM_WIDTHA-1 డౌన్‌టు 0); DATAB: std_logic_vector (LPM_WIDTHB-1 డౌన్‌టు 0); ACLR: std_logic:= '0'; CLOCK : std_logic లో:= '0: := '1'; SUM : std_logic_vector (LPM_WIDTHS-1 డౌన్‌టు 0) := (OTHERS => '0'); ఫలితం : std_logic_vector (LPM_WIDTHP-1 డౌన్‌టు 0)); ముగింపు భాగం;
4.4 VHDL LIBRARY_USE డిక్లరేషన్
మీరు VHDL కాంపోనెంట్ డిక్లరేషన్‌ని ఉపయోగిస్తే VHDL లైబ్రరీ-యూజ్ డిక్లరేషన్ అవసరం లేదు.
లైబ్రరీ lpm; lpm.lpm_components.all ఉపయోగించండి;

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 17

4. LPM_MULT (మల్టిప్లైయర్) IP కోర్ 683490 | 2020.10.05

4.5 సంకేతాలు

పట్టిక 7.

LPM_MULT ఇన్‌పుట్ సిగ్నల్స్

సిగ్నల్ పేరు

అవసరం

వివరణ

డేటా[]

అవును

డేటా ఇన్‌పుట్.

Intel Stratix 10, Intel Arria 10, మరియు Intel Cyclone 10 GX పరికరాల కోసం, ఇన్‌పుట్ సిగ్నల్ పరిమాణం Dataa వెడల్పు పరామితి విలువపై ఆధారపడి ఉంటుంది.

పాత మరియు Intel సైక్లోన్ 10 LP పరికరాల కోసం, ఇన్‌పుట్ సిగ్నల్ పరిమాణం LPM_WIDTHA పరామితి విలువపై ఆధారపడి ఉంటుంది.

డేటాబ్[]

అవును

డేటా ఇన్‌పుట్.

Intel Stratix 10, Intel Arria 10, మరియు Intel Cyclone 10 GX పరికరాల కోసం, ఇన్‌పుట్ సిగ్నల్ పరిమాణం డేటాబ్ వెడల్పు పరామితి విలువపై ఆధారపడి ఉంటుంది.

పాత మరియు Intel సైక్లోన్ 10 LP పరికరాల కోసం, ఇన్‌పుట్ సిగ్నల్ పరిమాణం ఆధారపడి ఉంటుంది

LPM_WIDTHB పరామితి విలువపై.

గడియారం

నం

పైప్‌లైన్ వినియోగం కోసం క్లాక్ ఇన్‌పుట్.

పాత మరియు Intel సైక్లోన్ 10 LP పరికరాల కోసం, క్లాక్ సిగ్నల్ తప్పనిసరిగా 0 (డిఫాల్ట్) కాకుండా ఇతర LPM_PIPELINE విలువలకు ప్రారంభించబడాలి.

Intel Stratix 10, Intel Arria 10, మరియు Intel Cyclone 10 GX పరికరాల కోసం, లాటెన్సీ విలువ 1 (డిఫాల్ట్) కాకుండా ఉంటే క్లాక్ సిగ్నల్ తప్పనిసరిగా ప్రారంభించబడాలి.

clken

నం

పైప్‌లైన్ వినియోగం కోసం గడియారం ప్రారంభించబడుతుంది. clken సిగ్నల్ ఎక్కువగా నొక్కి చెప్పబడినప్పుడు, ది

యాడర్/సబ్‌ట్రాక్టర్ ఆపరేషన్ జరుగుతుంది. సిగ్నల్ తక్కువగా ఉన్నప్పుడు, ఆపరేషన్ లేదు

సంభవిస్తుంది. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ 1.

aclr sclr

నం

పైప్‌లైన్‌ను అన్ని 0సెలకు రీసెట్ చేయడానికి ఏ సమయంలోనైనా అసమకాలిక స్పష్టమైన సిగ్నల్ ఉపయోగించబడుతుంది,

క్లాక్ సిగ్నల్‌కు అసమకాలికంగా. పైప్‌లైన్ నిర్వచించబడని (X)కి ప్రారంభించబడుతుంది

తర్కం స్థాయి. అవుట్‌పుట్‌లు స్థిరమైన, కానీ సున్నా కాని విలువ.

నం

పైప్‌లైన్‌ను అన్ని 0సెలకు రీసెట్ చేయడానికి ఏ సమయంలోనైనా సమకాలిక స్పష్టమైన సిగ్నల్ ఉపయోగించబడుతుంది,

గడియార సంకేతానికి సమకాలీనంగా. పైప్‌లైన్ నిర్వచించబడని (X)కి ప్రారంభించబడుతుంది

తర్కం స్థాయి. అవుట్‌పుట్‌లు స్థిరమైన, కానీ సున్నా కాని విలువ.

పట్టిక 8.

LPM_MULT అవుట్‌పుట్ సంకేతాలు

సిగ్నల్ పేరు

అవసరం

వివరణ

ఫలితం[]

అవును

డేటా అవుట్‌పుట్.

పాత మరియు Intel సైక్లోన్ 10 LP పరికరాల కోసం, అవుట్‌పుట్ సిగ్నల్ పరిమాణం LPM_WIDTHP పరామితి విలువపై ఆధారపడి ఉంటుంది. LPM_WIDTHP < max (LPM_WIDTHA + LPM_WIDTHB, LPM_WIDTHS) లేదా (LPM_WIDTHA + LPM_WIDTHS), LPM_WIDTHP MSBలు మాత్రమే ఉంటాయి.

Intel Stratix 10, Intel Arria 10 మరియు Intel Cyclone 10 GX కోసం, అవుట్‌పుట్ సిగ్నల్‌ల పరిమాణం ఫలితం వెడల్పు పరామితిపై ఆధారపడి ఉంటుంది.

4.6 స్ట్రాటిక్స్ V, అర్రియా V, సైక్లోన్ V మరియు ఇంటెల్ సైక్లోన్ 10 LP పరికరాల కోసం పారామితులు

4.6.1 సాధారణ ట్యాబ్

పట్టిక 9.

సాధారణ ట్యాబ్

పరామితి

విలువ

గుణకం కాన్ఫిగరేషన్

'dataa' ఇన్‌పుట్‌ని 'datab' ఇన్‌పుట్ ద్వారా గుణించండి

డిఫాల్ట్ విలువ

వివరణ

'dataa' ఇన్‌పుట్‌ని 'datab' ఇన్‌పుట్ ద్వారా గుణించండి

గుణకం కోసం కావలసిన కాన్ఫిగరేషన్‌ను ఎంచుకోండి.
కొనసాగింది…

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 18

అభిప్రాయాన్ని పంపండి

4. LPM_MULT (మల్టిప్లైయర్) IP కోర్ 683490 | 2020.10.05

పరామితి
'డేటా' ఇన్‌పుట్ ఎంత వెడల్పుగా ఉండాలి? 'డేటాబ్' ఇన్‌పుట్ ఎంత వెడల్పుగా ఉండాలి? 'ఫలితం' అవుట్‌పుట్ వెడల్పును ఎలా నిర్ణయించాలి? వెడల్పును పరిమితం చేయండి

విలువ
'డేటా' ఇన్‌పుట్‌ను స్వయంగా గుణించండి (స్క్వేర్ ఆపరేషన్)
1 - 256 బిట్స్

డిఫాల్ట్ విలువ

వివరణ

8 బిట్స్

డేటా[] పోర్ట్ వెడల్పును పేర్కొనండి.

1 - 256 బిట్స్

8 బిట్స్

డేటాబ్[] పోర్ట్ వెడల్పును పేర్కొనండి.

వెడల్పును స్వయంచాలకంగా లెక్కించండి వెడల్పును పరిమితం చేయండి
1 - 512 బిట్స్

స్వయంచాలకంగా y వెడల్పును లెక్కించండి

ఫలితం[] పోర్ట్ వెడల్పును నిర్ణయించడానికి కావలసిన పద్ధతిని ఎంచుకోండి.

16 బిట్స్

ఫలితం[] పోర్ట్ వెడల్పును పేర్కొనండి.
మీరు టైప్ పారామీటర్‌లో వెడల్పును పరిమితం చేయి ఎంచుకుంటే మాత్రమే ఈ విలువ ప్రభావవంతంగా ఉంటుంది.

4.6.2 సాధారణ 2 టాబ్

టేబుల్ 10. సాధారణ 2 టాబ్

పరామితి

విలువ

డేటా ఇన్‌పుట్

'డేటాబ్' ఇన్‌పుట్ బస్సుకు స్థిరమైన విలువ ఉందా?

లేదు అవును

గుణకారం రకం

ఏ రకం

సంతకం చేయలేదు

మీకు గుణకారం కావాలా? సంతకం చేశారు

అమలు

ఏ గుణకం అమలును ఉపయోగించాలి?

డిఫాల్ట్ అమలును ఉపయోగించండి
అంకితమైన మల్టిప్లైయర్ సర్క్యూట్రీని ఉపయోగించండి (అన్ని కుటుంబాలకు అందుబాటులో లేదు)
లాజిక్ ఎలిమెంట్స్ ఉపయోగించండి

డిఫాల్ట్ విలువ

వివరణ

నం

యొక్క స్థిరమైన విలువను పేర్కొనడానికి అవును ఎంచుకోండి

`డేటాబ్' ఇన్‌పుట్ బస్, ఏదైనా ఉంటే.

సంతకం చేయలేదు

డేటా[] మరియు డేటాబ్[] ఇన్‌పుట్‌లు రెండింటికీ ప్రాతినిధ్య ఆకృతిని పేర్కొనండి.

డిఫాల్ట్ ఇంప్లిమెంటేషన్ అయాన్‌ని ఉపయోగించండి

ఫలితం[] పోర్ట్ వెడల్పును నిర్ణయించడానికి కావలసిన పద్ధతిని ఎంచుకోండి.

4.6.3 పైప్‌లైనింగ్ ట్యాబ్

టేబుల్ 11. పైప్‌లైనింగ్ ట్యాబ్

పరామితి

మీరు నం

ఫంక్షన్?

అవును

విలువ

'aclr'ని సృష్టించండి

అసమకాలిక స్పష్టమైన పోర్ట్

డిఫాల్ట్ విలువ

వివరణ

నం

పైప్‌లైన్ రిజిస్టర్‌ను ప్రారంభించడానికి అవును ఎంచుకోండి

గుణకం యొక్క అవుట్‌పుట్ మరియు కావలసిన దానిని పేర్కొనండి

గడియార చక్రంలో అవుట్‌పుట్ జాప్యం. ఎనేబుల్ చేస్తోంది

పైప్‌లైన్ రిజిస్టర్ అదనపు జాప్యాన్ని జోడిస్తుంది

అవుట్పుట్.

ఎంపిక చేయబడలేదు

పైప్‌లైన్ రిజిస్టర్ కోసం అసమకాలిక క్లియర్‌ని ఉపయోగించడానికి aclr పోర్ట్‌ను ప్రారంభించడానికి ఈ ఎంపికను ఎంచుకోండి.
కొనసాగింది…

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 19

4. LPM_MULT (మల్టిప్లైయర్) IP కోర్ 683490 | 2020.10.05

పరామితి
'clken' గడియారాన్ని సృష్టించు గడియారాన్ని ప్రారంభించండి
ఆప్టిమైజేషన్
మీకు ఏ రకమైన ఆప్టిమైజేషన్ కావాలి?

విలువ -
డిఫాల్ట్ స్పీడ్ ఏరియా

డిఫాల్ట్ విలువ

వివరణ

ఎంపిక చేయబడలేదు

పైప్‌లైన్ రిజిస్టర్ యొక్క క్లాక్ పోర్ట్ కోసం యాక్టివ్ హై క్లాక్ ఎనేబుల్‌ని పేర్కొంటుంది

డిఫాల్ట్

IP కోర్ కోసం కావలసిన ఆప్టిమైజేషన్‌ను పేర్కొనండి.
IP కోర్ కోసం ఉత్తమమైన ఆప్టిమైజేషన్‌ను నిర్ణయించడానికి Intel Quartus Prime సాఫ్ట్‌వేర్‌ను అనుమతించడానికి డిఫాల్ట్‌ని ఎంచుకోండి.

4.7 ఇంటెల్ స్ట్రాటిక్స్ 10, ఇంటెల్ అరియా 10 మరియు ఇంటెల్ సైక్లోన్ 10 జిఎక్స్ పరికరాల కోసం పారామితులు

4.7.1 సాధారణ ట్యాబ్

టేబుల్ 12. సాధారణ ట్యాబ్

పరామితి

విలువ

డిఫాల్ట్ విలువ

వివరణ

గుణకం కాన్ఫిగరేషన్ రకం
డేటా పోర్ట్ వెడల్పులు

'dataa' ఇన్‌పుట్‌ని 'datab' ఇన్‌పుట్ ద్వారా గుణించండి
'డేటా' ఇన్‌పుట్‌ను స్వయంగా గుణించండి (స్క్వేర్ ఆపరేషన్)

'dataa' ఇన్‌పుట్‌ని 'datab' ఇన్‌పుట్ ద్వారా గుణించండి

గుణకం కోసం కావలసిన కాన్ఫిగరేషన్‌ను ఎంచుకోండి.

డేటా వెడల్పు

1 - 256 బిట్స్

8 బిట్స్

డేటా[] పోర్ట్ వెడల్పును పేర్కొనండి.

డేటాబ్ వెడల్పు

1 - 256 బిట్స్

8 బిట్స్

డేటాబ్[] పోర్ట్ వెడల్పును పేర్కొనండి.

'ఫలితం' అవుట్‌పుట్ వెడల్పును ఎలా నిర్ణయించాలి?

టైప్ చేయండి

వెడల్పును స్వయంచాలకంగా లెక్కించండి
వెడల్పును పరిమితం చేయండి

స్వయంచాలకంగా y వెడల్పును లెక్కించండి

ఫలితం[] పోర్ట్ వెడల్పును నిర్ణయించడానికి కావలసిన పద్ధతిని ఎంచుకోండి.

విలువ

1 - 512 బిట్స్

16 బిట్స్

ఫలితం[] పోర్ట్ వెడల్పును పేర్కొనండి.
మీరు టైప్ పారామీటర్‌లో వెడల్పును పరిమితం చేయి ఎంచుకుంటే మాత్రమే ఈ విలువ ప్రభావవంతంగా ఉంటుంది.

ఫలితం వెడల్పు

1 - 512 బిట్స్

ఫలితం[] పోర్ట్ యొక్క ప్రభావవంతమైన వెడల్పును ప్రదర్శిస్తుంది.

4.7.2 సాధారణ 2 టాబ్

టేబుల్ 13. సాధారణ 2 టాబ్

పరామితి

డేటా ఇన్‌పుట్

'డేటాబ్' ఇన్‌పుట్ బస్సుకు స్థిరమైన విలువ ఉందా?

లేదు అవును

విలువ

డిఫాల్ట్ విలువ

వివరణ

నం

యొక్క స్థిరమైన విలువను పేర్కొనడానికి అవును ఎంచుకోండి

`డేటాబ్' ఇన్‌పుట్ బస్, ఏదైనా ఉంటే.

కొనసాగింది…

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 20

అభిప్రాయాన్ని పంపండి

4. LPM_MULT (మల్టిప్లైయర్) IP కోర్ 683490 | 2020.10.05

పరామితి

విలువ

విలువ

ఏదైనా విలువ 0 కంటే ఎక్కువ

గుణకారం రకం

ఏ రకం

సంతకం చేయలేదు

మీకు గుణకారం కావాలా? సంతకం చేశారు

అమలు శైలి

ఏ గుణకం అమలును ఉపయోగించాలి?

డిఫాల్ట్ అమలును ఉపయోగించండి
అంకితమైన మల్టిప్లైయర్ సర్క్యూట్రీని ఉపయోగించండి
లాజిక్ ఎలిమెంట్స్ ఉపయోగించండి

డిఫాల్ట్ విలువ

వివరణ

0

డేటాబ్[] పోర్ట్ యొక్క స్థిరమైన విలువను పేర్కొనండి.

సంతకం చేయలేదు

డేటా[] మరియు డేటాబ్[] ఇన్‌పుట్‌లు రెండింటికీ ప్రాతినిధ్య ఆకృతిని పేర్కొనండి.

డిఫాల్ట్ ఇంప్లిమెంటేషన్ అయాన్‌ని ఉపయోగించండి

ఫలితం[] పోర్ట్ వెడల్పును నిర్ణయించడానికి కావలసిన పద్ధతిని ఎంచుకోండి.

4.7.3 పైపులైనింగ్

టేబుల్ 14. పైప్‌లైనింగ్ ట్యాబ్

పరామితి

విలువ

మీరు ఫంక్షన్‌ను పైప్‌లైన్ చేయాలనుకుంటున్నారా?

పైప్లైన్

లేదు అవును

జాప్యం క్లియర్ సిగ్నల్ రకం

ఏదైనా విలువ 0 కంటే ఎక్కువ.
ACLR SCLR కాదు

'క్కెన్' గడియారాన్ని సృష్టించండి

గడియారాన్ని ప్రారంభించండి

మీకు ఏ రకమైన ఆప్టిమైజేషన్ కావాలి?

టైప్ చేయండి

డిఫాల్ట్ స్పీడ్ ఏరియా

డిఫాల్ట్ విలువ

వివరణ

సంఖ్య 1 కాదు

గుణకం యొక్క అవుట్‌పుట్‌కు పైప్‌లైన్ రిజిస్టర్‌ను ప్రారంభించడానికి అవును ఎంచుకోండి. పైప్‌లైన్ రిజిస్టర్‌ను ప్రారంభించడం వలన అవుట్‌పుట్‌కు అదనపు జాప్యం పెరుగుతుంది.
క్లాక్ సైకిల్‌లో కావలసిన అవుట్‌పుట్ జాప్యాన్ని పేర్కొనండి.
పైప్‌లైన్ రిజిస్టర్ కోసం రీసెట్ రకాన్ని పేర్కొనండి. మీరు ఏ పైప్‌లైన్ రిజిస్టర్‌ను ఉపయోగించనట్లయితే NONEని ఎంచుకోండి. పైప్‌లైన్ రిజిస్టర్ కోసం అసమకాలిక క్లియర్‌ని ఉపయోగించడానికి ACLRని ఎంచుకోండి. ఇది ACLR పోర్ట్‌ను ఉత్పత్తి చేస్తుంది. పైప్‌లైన్ రిజిస్టర్ కోసం సింక్రోనస్ క్లియర్‌ని ఉపయోగించడానికి SCLRని ఎంచుకోండి. ఇది SCLR పోర్ట్‌ను ఉత్పత్తి చేస్తుంది.
పైప్‌లైన్ రిజిస్టర్ యొక్క క్లాక్ పోర్ట్ కోసం యాక్టివ్ హై క్లాక్ ఎనేబుల్‌ని పేర్కొంటుంది

డిఫాల్ట్

IP కోర్ కోసం కావలసిన ఆప్టిమైజేషన్‌ను పేర్కొనండి.
IP కోర్ కోసం ఉత్తమమైన ఆప్టిమైజేషన్‌ను నిర్ణయించడానికి Intel Quartus Prime సాఫ్ట్‌వేర్‌ను అనుమతించడానికి డిఫాల్ట్‌ని ఎంచుకోండి.

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 21

683490 | 2020.10.05 అభిప్రాయాన్ని పంపండి

5. LPM_ADD_SUB (యాడర్/సబ్‌ట్రాక్టర్)

చిత్రం 4.

LPM_ADD_SUB IP కోర్ ఇన్‌పుట్ విలువల మొత్తం లేదా వ్యత్యాసాన్ని కలిగి ఉన్న అవుట్‌పుట్‌ను ఉత్పత్తి చేయడానికి డేటా సెట్‌లను జోడించడానికి లేదా తీసివేయడానికి యాడర్ లేదా సబ్‌ట్రాక్టర్‌ను అమలు చేయడానికి మిమ్మల్ని అనుమతిస్తుంది.

క్రింది బొమ్మ LPM_ADD_SUB IP కోర్ కోసం పోర్ట్‌లను చూపుతుంది.

LPM_ADD_SUB పోర్ట్‌లు

LPM_ADD_SUB add_sub సిన్

డేటా[]

క్లాక్ క్లకెన్ డేటాబ్[] aclr

ఫలితం[] ఓవర్‌ఫ్లో కౌట్

inst

5.1 ఫీచర్లు
LPM_ADD_SUB IP కోర్ క్రింది లక్షణాలను అందిస్తుంది: · యాడర్, సబ్‌ట్రాక్టర్ మరియు డైనమిక్‌గా కాన్ఫిగర్ చేయగల యాడర్/సబ్‌ట్రాక్టర్‌ని ఉత్పత్తి చేస్తుంది
విధులు. · 1 బిట్‌ల డేటా వెడల్పుకు మద్దతు ఇస్తుంది. · సంతకం మరియు సంతకం చేయని వంటి డేటా ప్రాతినిధ్య ఆకృతికి మద్దతు ఇస్తుంది. · ఐచ్ఛిక క్యారీ-ఇన్ (బారో-అవుట్), ఎసిన్క్రోనస్ క్లియర్ మరియు క్లాక్ ఎనేబుల్‌కి మద్దతు ఇస్తుంది
ఇన్‌పుట్ పోర్ట్‌లు. · ఐచ్ఛిక క్యారీ-అవుట్ (బారో-ఇన్) మరియు ఓవర్‌ఫ్లో అవుట్‌పుట్ పోర్ట్‌లకు మద్దతు ఇస్తుంది. · ఇన్‌పుట్ డేటా బస్సుల్లో ఒకదానిని స్థిరాంకానికి కేటాయిస్తుంది. · కాన్ఫిగర్ చేయదగిన అవుట్‌పుట్ జాప్యంతో పైప్‌లైనింగ్‌కు మద్దతు ఇస్తుంది.

ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్‌మార్క్‌లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్‌లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

ISO 9001:2015 నమోదు చేయబడింది

5. LPM_ADD_SUB (యాడర్/సబ్‌ట్రాక్టర్) 683490 | 2020.10.05
5.2 వెరిలాగ్ HDL ప్రోటోటైప్
కింది వెరిలాగ్ HDL ప్రోటోటైప్ వెరిలాగ్ డిజైన్‌లో ఉంది File (.v) lpm.v లో ఎడాసింథసిస్ డైరెక్టరీ.
మాడ్యూల్ lpm_add_sub (ఫలితం, cout, ఓవర్‌ఫ్లో, add_sub, cin, dataa, datab, clock, clken, aclr ); పరామితి lpm_type = “lpm_add_sub”; పరామితి lpm_width = 1; పరామితి lpm_direction = “UNUSED”; పరామితి lpm_representation = “SIGNED”; పరామితి lpm_pipeline = 0; పరామితి lpm_hint = “UNUSED”; ఇన్‌పుట్ [lpm_width-1:0] dataa, datab; ఇన్‌పుట్ add_sub, సిన్; ఇన్పుట్ గడియారం; ఇన్పుట్ క్కెన్; ఇన్పుట్ aclr; అవుట్‌పుట్ [lpm_width-1:0] ఫలితం; అవుట్పుట్ కౌట్, ఓవర్ఫ్లో; ముగింపు మాడ్యూల్
5.3 VHDL కాంపోనెంట్ డిక్లరేషన్
VHDL కాంపోనెంట్ డిక్లరేషన్ VHDL డిజైన్‌లో ఉంది File (.vhd) LPM_PACK.vhd librariesvhdllpm డైరెక్టరీ.
భాగం LPM_ADD_SUB జెనరిక్ (LPM_WIDTH : సహజమైనది;
LPM_DIRECTION : స్ట్రింగ్ := “ఉపయోగించనిది”; LPM_REPRESENTATION: స్ట్రింగ్ := “SIGNED”; LPM_PIPELINE : సహజం := 0; LPM_TYPE : స్ట్రింగ్ := L_ADD_SUB; LPM_HINT : స్ట్రింగ్ := “ఉపయోగించబడలేదు”); పోర్ట్ (DATAA : std_logic_vectorలో (LPM_WIDTH-1 డౌన్‌టు 0); DATAB : std_logic_vector (LPM_WIDTH-1 డౌన్‌టు 0); ACLR: std_logic:= '0'; CLOCK: std_logic లో := '0'; := '1'; CIN: std_logicలో := 'Z'; ADD_SUB: std_logic:= '1'; ఫలితం: std_logic_vector (LPM_WIDTH-1 డౌన్‌టు 0); COUT: అవుట్ std_logic; OVERFLOW: అవుట్ std_logic; ముగింపు భాగం;
5.4 VHDL LIBRARY_USE డిక్లరేషన్
మీరు VHDL కాంపోనెంట్ డిక్లరేషన్‌ని ఉపయోగిస్తే VHDL లైబ్రరీ-యూజ్ డిక్లరేషన్ అవసరం లేదు.
లైబ్రరీ lpm; lpm.lpm_components.all ఉపయోగించండి;
5.5. పోర్టులు
క్రింది పట్టికలు LPM_ADD_SUB IP కోర్ కోసం ఇన్‌పుట్ మరియు అవుట్‌పుట్ పోర్ట్‌లను జాబితా చేస్తాయి.

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 23

5. LPM_ADD_SUB (యాడర్/సబ్‌ట్రాక్టర్) 683490 | 2020.10.05

పట్టిక 15. LPM_ADD_SUB IP కోర్ ఇన్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు

అవసరం

వివరణ

సిన్

నం

తక్కువ-ఆర్డర్ బిట్‌కి క్యారీ-ఇన్ చేయండి. అదనపు కార్యకలాపాల కోసం, డిఫాల్ట్ విలువ 0. కోసం

వ్యవకలన కార్యకలాపాలు, డిఫాల్ట్ విలువ 1.

డేటా[]

అవును

డేటా ఇన్‌పుట్. ఇన్‌పుట్ పోర్ట్ పరిమాణం LPM_WIDTH పరామితి విలువపై ఆధారపడి ఉంటుంది.

డేటాబ్[]

అవును

డేటా ఇన్‌పుట్. ఇన్‌పుట్ పోర్ట్ పరిమాణం LPM_WIDTH పరామితి విలువపై ఆధారపడి ఉంటుంది.

add_sub

నం

యాడర్ మరియు సబ్‌ట్రాక్టర్ మధ్య డైనమిక్ స్విచింగ్‌ను ప్రారంభించడానికి ఐచ్ఛిక ఇన్‌పుట్ పోర్ట్

విధులు. LPM_DIRECTION పరామితిని ఉపయోగించినట్లయితే, add_sub ఉపయోగించబడదు. ఉంటే

విస్మరించబడింది, డిఫాల్ట్ విలువ ADD. మీరు ఉపయోగించాలని Intel సిఫార్సు చేస్తోంది

LPM_ADD_SUB ఫంక్షన్ యొక్క ఆపరేషన్‌ను పేర్కొనడానికి LPM_DIRECTION పరామితి,

add_sub పోర్ట్‌కు స్థిరాంకం కేటాయించడం కంటే.

గడియారం

నం

పైప్‌లైన్ వినియోగం కోసం ఇన్‌పుట్. క్లాక్ పోర్ట్ పైప్‌లైన్ కోసం క్లాక్ ఇన్‌పుట్‌ను అందిస్తుంది

ఆపరేషన్. 0 (డిఫాల్ట్) కాకుండా ఇతర LPM_PIPELINE విలువల కోసం, క్లాక్ పోర్ట్ తప్పనిసరిగా ఉండాలి

ప్రారంభించబడింది.

clken

నం

పైప్‌లైన్ వినియోగం కోసం గడియారం ప్రారంభించబడుతుంది. క్కెన్ పోర్ట్ ఎక్కువగా నొక్కిచెప్పబడినప్పుడు, యాడర్/

సబ్‌ట్రాక్టర్ ఆపరేషన్ జరుగుతుంది. సిగ్నల్ తక్కువగా ఉన్నప్పుడు, ఎటువంటి ఆపరేషన్ జరగదు. ఉంటే

విస్మరించబడింది, డిఫాల్ట్ విలువ 1.

aclr

నం

పైప్‌లైన్ వినియోగానికి అసమకాలిక క్లియర్. పైప్‌లైన్ నిర్వచించబడని (X)కి ప్రారంభించబడుతుంది

తర్కం స్థాయి. పైప్‌లైన్‌ను అన్ని 0లకు రీసెట్ చేయడానికి aclr పోర్ట్ ఎప్పుడైనా ఉపయోగించవచ్చు,

క్లాక్ సిగ్నల్‌కు అసమకాలికంగా.

పట్టిక 16. LPM_ADD_SUB IP కోర్ అవుట్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు

అవసరం

వివరణ

ఫలితం[]

అవును

డేటా అవుట్‌పుట్. అవుట్‌పుట్ పోర్ట్ పరిమాణం LPM_WIDTH పరామితిపై ఆధారపడి ఉంటుంది

విలువ.

కోట్

నం

అత్యంత ముఖ్యమైన బిట్ (MSB) యొక్క క్యారీ-అవుట్ (బారో-ఇన్). కౌట్ పోర్ట్ ఒక భౌతిక కలిగి ఉంది

MSB యొక్క క్యారీ-అవుట్ (బారో-ఇన్) గా వివరణ. కౌట్ పోర్ట్ గుర్తిస్తుంది

అన్‌సైన్డ్ కార్యకలాపాలలో ఓవర్‌ఫ్లో. కౌట్ పోర్ట్ అదే పద్ధతిలో పనిచేస్తుంది

సంతకం మరియు అన్‌సైన్డ్ కార్యకలాపాలు.

పొంగిపొర్లుతున్నాయి

నం

ఐచ్ఛిక ఓవర్‌ఫ్లో మినహాయింపు అవుట్‌పుట్. ఓవర్‌ఫ్లో పోర్ట్‌కి భౌతిక వివరణ ఉంది

MSB యొక్క క్యారీ-అవుట్‌తో MSBకి క్యారీ-ఇన్ యొక్క XOR. ఓవర్‌ఫ్లో పోర్ట్

ఫలితాలు అందుబాటులో ఉన్న ఖచ్చితత్వాన్ని మించి ఉన్నప్పుడు నిర్ధారిస్తుంది మరియు ఉపయోగించబడుతుంది

LPM_REPRESENTATION పరామితి విలువ SIGNED.

5.6. పారామితులు

క్రింది పట్టిక LPM_ADD_SUB IP కోర్ పారామితులను జాబితా చేస్తుంది.

పట్టిక 17. LPM_ADD_SUB IP కోర్ పారామితులు

పారామీటర్ పేరు LPM_WIDTH

పూర్ణాంకం టైప్ చేయండి

అవసరం అవును

వివరణ
డేటా[], డేటాబ్[] మరియు ఫలితం[] పోర్ట్‌ల వెడల్పులను పేర్కొంటుంది.

LPM_DIRECTION

స్ట్రింగ్

నం

విలువలు ADD, SUB మరియు UNUSED. విస్మరించబడితే, డిఫాల్ట్ విలువ DEFAULT, ఇది add_sub పోర్ట్ నుండి దాని విలువను తీసుకోవాలని పరామితిని నిర్దేశిస్తుంది. LPM_DIRECTION ఉపయోగించినట్లయితే add_sub పోర్ట్ ఉపయోగించబడదు. LPM_ADD_SUB ఫంక్షన్ యొక్క ఆపరేషన్‌ని పేర్కొనడానికి మీరు LPM_DIRECTION పారామీటర్‌ని ఉపయోగించాల్సిందిగా Intel సిఫార్సు చేస్తోంది, add_sub పోర్ట్‌కు స్థిరంగా కేటాయించడం కంటే.
కొనసాగింది…

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 24

అభిప్రాయాన్ని పంపండి

5. LPM_ADD_SUB (యాడర్/సబ్‌ట్రాక్టర్) 683490 | 2020.10.05

పరామితి పేరు LPM_REPRESENTATION LPM_PIPELINE LPM_HINT LPM_TYPE ONE_INPUT_IS_CONSTANT MAXIMIZE_SPEED
INTENDED_DEVICE_FAMILY

స్ట్రింగ్ పూర్ణాంకం స్ట్రింగ్ స్ట్రింగ్ స్ట్రింగ్ పూర్ణాంకం టైప్ చేయండి
స్ట్రింగ్

అవసరం లేదు కాదు కాదు కాదు కాదు కాదు
నం

వివరణ
అదనంగా నిర్వహించబడే రకాన్ని నిర్దేశిస్తుంది. విలువలు సంతకం చేయబడ్డాయి మరియు అన్‌సైన్డ్ చేయబడ్డాయి. విస్మరించబడితే, డిఫాల్ట్ విలువ సంతకం చేయబడుతుంది. ఈ పరామితిని SIGNEDకి సెట్ చేసినప్పుడు, యాడర్/సబ్‌ట్రాక్టర్ డేటా ఇన్‌పుట్‌ను సంతకం చేసిన రెండు పూరకంగా వివరిస్తుంది.
ఫలితం[] అవుట్‌పుట్‌తో అనుబంధించబడిన జాప్యం గడియార చక్రాల సంఖ్యను పేర్కొంటుంది. సున్నా (0) విలువ ఎటువంటి జాప్యం లేదని మరియు పూర్తిగా కలయిక ఫంక్షన్ తక్షణం చేయబడుతుందని సూచిస్తుంది. విస్మరించబడితే, డిఫాల్ట్ విలువ 0 (పైప్‌లైన్ చేయనిది).
VHDL డిజైన్‌లో Intel-నిర్దిష్ట పారామితులను పేర్కొనడానికి మిమ్మల్ని అనుమతిస్తుంది files (.vhd). డిఫాల్ట్ విలువ UNUSED.
VHDL డిజైన్‌లో పారామీటర్ చేయబడిన మాడ్యూల్స్ (LPM) ఎంటిటీ పేరు యొక్క లైబ్రరీని గుర్తిస్తుంది files.
ఇంటెల్-నిర్దిష్ట పరామితి. VHDL డిజైన్‌లో ONE_INPUT_IS_CONSTANT పరామితిని పేర్కొనడానికి మీరు తప్పనిసరిగా LPM_HINT పరామితిని ఉపయోగించాలి fileలు. విలువలు అవును, కాదు మరియు ఉపయోగించనివి. ఒక ఇన్‌పుట్ స్థిరంగా ఉంటే ఎక్కువ ఆప్టిమైజేషన్‌ని అందిస్తుంది. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ NO.
ఇంటెల్-నిర్దిష్ట పరామితి. VHDL డిజైన్‌లో MAXIMIZE_SPEED పరామితిని పేర్కొనడానికి మీరు తప్పనిసరిగా LPM_HINT పరామితిని ఉపయోగించాలి fileలు. మీరు 0 మరియు 10 మధ్య విలువను పేర్కొనవచ్చు. ఉపయోగించినట్లయితే, ఇంటెల్ క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ LPM_ADD_SUB ఫంక్షన్ యొక్క నిర్దిష్ట ఉదాహరణను రూటబిలిటీకి బదులుగా వేగం కోసం ఆప్టిమైజ్ చేయడానికి ప్రయత్నిస్తుంది మరియు ఆప్టిమైజేషన్ టెక్నిక్ లాజిక్ ఎంపిక సెట్టింగ్‌ను భర్తీ చేస్తుంది. MAXIMIZE_SPEED ఉపయోగించబడకపోతే, ఆప్టిమైజేషన్ టెక్నిక్ ఎంపిక యొక్క విలువ బదులుగా ఉపయోగించబడుతుంది. MAXIMIZE_SPEED కోసం సెట్టింగ్ 6 లేదా అంతకంటే ఎక్కువ ఉంటే, కంపైలర్ క్యారీ చైన్‌లను ఉపయోగించి అధిక వేగం కోసం LPM_ADD_SUB IP కోర్‌ని ఆప్టిమైజ్ చేస్తుంది; సెట్టింగ్ 5 లేదా అంతకంటే తక్కువ ఉంటే, కంపైలర్ క్యారీ చైన్‌లు లేకుండా డిజైన్‌ను అమలు చేస్తుంది. add_sub పోర్ట్ ఉపయోగించనప్పుడు మాత్రమే సైక్లోన్, స్ట్రాటిక్స్ మరియు స్ట్రాటిక్స్ GX పరికరాల కోసం ఈ పారామీటర్ తప్పనిసరిగా పేర్కొనబడాలి.
ఈ పరామితి మోడలింగ్ మరియు ప్రవర్తనా అనుకరణ ప్రయోజనాల కోసం ఉపయోగించబడుతుంది. పారామీటర్ ఎడిటర్ ఈ పరామితి కోసం విలువను గణిస్తుంది.

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 25

683490 | 2020.10.05 అభిప్రాయాన్ని పంపండి

6. LPM_COMPARE (కంపారేటర్)

చిత్రం 5.

LPM_COMPARE IP కోర్ వాటి మధ్య సంబంధాన్ని నిర్ణయించడానికి రెండు సెట్ల డేటా విలువను సరిపోల్చుతుంది. దాని సరళమైన రూపంలో, రెండు బిట్‌ల డేటా సమానంగా ఉందో లేదో తెలుసుకోవడానికి మీరు ప్రత్యేకమైన-OR గేట్‌ని ఉపయోగించవచ్చు.

క్రింది బొమ్మ LPM_COMPARE IP కోర్ కోసం పోర్ట్‌లను చూపుతుంది.

LPM_COMPARE పోర్ట్‌లు

LPM_COMPARE

clken

alb

aeb

డేటా[]

agb

డేటాబ్[]

వయస్సు

గడియారం

అనెబ్

aclr

అలెబ్

inst

6.1 ఫీచర్లు
LPM_COMPARE IP కోర్ క్రింది లక్షణాలను అందిస్తుంది: · రెండు సెట్ల డేటాను సరిపోల్చడానికి కంపారిటర్ ఫంక్షన్‌ను రూపొందిస్తుంది · 1 బిట్‌ల డేటా వెడల్పుకు మద్దతు ఇస్తుంది · సంతకం మరియు సంతకం చేయని డేటా ప్రాతినిధ్య ఆకృతికి మద్దతు ఇస్తుంది · క్రింది అవుట్‌పుట్ రకాలను ఉత్పత్తి చేస్తుంది:
— alb (ఇన్‌పుట్ A ఇన్‌పుట్ B కంటే తక్కువ) — aeb (ఇన్‌పుట్ A ఇన్‌పుట్ Bకి సమానం) — agb (ఇన్‌పుట్ A ఇన్‌పుట్ B కంటే ఎక్కువ) — ageb (ఇన్‌పుట్ A ఇన్‌పుట్ B కంటే ఎక్కువ లేదా సమానం) — aneb ( ఇన్‌పుట్ A ఇన్‌పుట్ Bకి సమానం కాదు) — aleb (ఇన్‌పుట్ A అనేది ఇన్‌పుట్ B కంటే తక్కువ లేదా సమానంగా ఉంటుంది) · ఐచ్ఛిక అసమకాలిక క్లియర్‌కు మద్దతు ఇస్తుంది మరియు ఇన్‌పుట్ పోర్ట్‌లను ప్రారంభించే గడియారానికి మద్దతు ఇస్తుంది · డేటాబ్[] ఇన్‌పుట్‌ను స్థిరంగా కేటాయిస్తుంది · కాన్ఫిగర్ చేయదగిన అవుట్‌పుట్ జాప్యంతో పైప్‌లైనింగ్‌కు మద్దతు ఇస్తుంది

ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్‌మార్క్‌లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్‌లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

ISO 9001:2015 నమోదు చేయబడింది

6. LPM_COMPARE (కంపారేటర్) 683490 | 2020.10.05
6.2 వెరిలాగ్ HDL ప్రోటోటైప్
కింది వెరిలాగ్ HDL ప్రోటోటైప్ వెరిలాగ్ డిజైన్‌లో ఉంది File (.v) lpm.v లో ఎడాసింథసిస్ డైరెక్టరీ.
మాడ్యూల్ lpm_compare ( alb, aeb, agb, aleb, aneb, ageb, dataa, datab, clock, clken, aclr ); పరామితి lpm_type = “lpm_compare”; పరామితి lpm_width = 1; పరామితి lpm_representation = “UNSIGNED”; పరామితి lpm_pipeline = 0; పరామితి lpm_hint = “UNUSED”; ఇన్‌పుట్ [lpm_width-1:0] dataa, datab; ఇన్పుట్ గడియారం; ఇన్పుట్ క్కెన్; ఇన్పుట్ aclr; అవుట్పుట్ alb, aeb, agb, aleb, aneb, ageb; ముగింపు మాడ్యూల్
6.3 VHDL కాంపోనెంట్ డిక్లరేషన్
VHDL కాంపోనెంట్ డిక్లరేషన్ VHDL డిజైన్‌లో ఉంది File (.vhd) LPM_PACK.vhd librariesvhdllpm డైరెక్టరీ.
భాగం LPM_COMPARE జెనరిక్ (LPM_WIDTH : సహజమైనది;
LPM_REPRESENTATION : స్ట్రింగ్ := “UNSIGNED”; LPM_PIPELINE : సహజం := 0; LPM_TYPE: స్ట్రింగ్ := L_COMPARE; LPM_HINT : స్ట్రింగ్ := “ఉపయోగించబడలేదు”); పోర్ట్ (DATAA : std_logic_vectorలో (LPM_WIDTH-1 డౌన్‌టు 0); DATAB : std_logic_vector (LPM_WIDTH-1 డౌన్‌టు 0); ACLR: std_logic:= '0'; CLOCK: std_logic లో := '0'; := '1'; AGB: అవుట్ std_logic; AGEB: అవుట్ std_logic; AEB: అవుట్ std_logic; ANEB: అవుట్ std_logic; ALB: అవుట్ std_logic; ALEB: అవుట్ std_logic); ముగింపు భాగం;
6.4 VHDL LIBRARY_USE డిక్లరేషన్
మీరు VHDL కాంపోనెంట్ డిక్లరేషన్‌ని ఉపయోగిస్తే VHDL లైబ్రరీ-యూజ్ డిక్లరేషన్ అవసరం లేదు.
లైబ్రరీ lpm; lpm.lpm_components.all ఉపయోగించండి;
6.5. పోర్టులు
క్రింది పట్టికలు LMP_COMPARE IP కోర్ కోసం ఇన్‌పుట్ మరియు అవుట్‌పుట్ పోర్ట్‌లను జాబితా చేస్తాయి.

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 27

6. LPM_COMPARE (కంపారేటర్) 683490 | 2020.10.05

పట్టిక 18. LPM_COMPARE IP కోర్ ఇన్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు

అవసరం

వివరణ

డేటా[]

అవును

డేటా ఇన్‌పుట్. ఇన్‌పుట్ పోర్ట్ పరిమాణం LPM_WIDTH పరామితి విలువపై ఆధారపడి ఉంటుంది.

డేటాబ్[]

అవును

డేటా ఇన్‌పుట్. ఇన్‌పుట్ పోర్ట్ పరిమాణం LPM_WIDTH పరామితి విలువపై ఆధారపడి ఉంటుంది.

గడియారం

నం

పైప్‌లైన్ వినియోగం కోసం క్లాక్ ఇన్‌పుట్. క్లాక్ పోర్ట్ పైప్‌లైన్ కోసం క్లాక్ ఇన్‌పుట్‌ను అందిస్తుంది

ఆపరేషన్. 0 (డిఫాల్ట్) కాకుండా ఇతర LPM_PIPELINE విలువల కోసం, క్లాక్ పోర్ట్ తప్పనిసరిగా ఉండాలి

ప్రారంభించబడింది.

clken

నం

పైప్‌లైన్ వినియోగం కోసం గడియారం ప్రారంభించబడుతుంది. క్కెన్ పోర్ట్ అధికమని నొక్కిచెప్పినప్పుడు, ది

పోలిక ఆపరేషన్ జరుగుతుంది. సిగ్నల్ తక్కువగా ఉన్నప్పుడు, ఎటువంటి ఆపరేషన్ జరగదు. ఉంటే

విస్మరించబడింది, డిఫాల్ట్ విలువ 1.

aclr

నం

పైప్‌లైన్ వినియోగానికి అసమకాలిక క్లియర్. పైప్‌లైన్ నిర్వచించబడని (X) లాజిక్‌కు ప్రారంభమవుతుంది

స్థాయి. పైప్‌లైన్‌ను అన్ని 0లకు రీసెట్ చేయడానికి aclr పోర్ట్ ఎప్పుడైనా ఉపయోగించవచ్చు,

క్లాక్ సిగ్నల్‌కు అసమకాలికంగా.

పట్టిక 19. LPM_COMPARE IP కోర్ అవుట్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు

అవసరం

వివరణ

alb

నం

కంపారిటర్ కోసం అవుట్‌పుట్ పోర్ట్. ఇన్‌పుట్ B కంటే ఇన్‌పుట్ A తక్కువగా ఉంటే నిర్ధారించబడింది.

aeb

నం

కంపారిటర్ కోసం అవుట్‌పుట్ పోర్ట్. ఇన్‌పుట్ A ఇన్‌పుట్ Bకి సమానం అయితే నిర్ధారించబడింది.

agb

నం

కంపారిటర్ కోసం అవుట్‌పుట్ పోర్ట్. ఇన్‌పుట్ B కంటే ఇన్‌పుట్ A ఎక్కువగా ఉంటే నిర్ధారించబడింది.

వయస్సు

నం

కంపారిటర్ కోసం అవుట్‌పుట్ పోర్ట్. ఇన్‌పుట్ A ఇన్‌పుట్ కంటే ఎక్కువ లేదా సమానంగా ఉంటే నిర్ధారించబడింది

B.

అనెబ్

నం

కంపారిటర్ కోసం అవుట్‌పుట్ పోర్ట్. ఇన్‌పుట్ A ఇన్‌పుట్ Bకి సమానం కానట్లయితే నిర్ధారించబడింది.

అలెబ్

నం

కంపారిటర్ కోసం అవుట్‌పుట్ పోర్ట్. ఇన్‌పుట్ A ఇన్‌పుట్ B కంటే తక్కువగా లేదా సమానంగా ఉంటే నిర్ధారించబడింది.

6.6. పారామితులు

క్రింది పట్టిక LPM_COMPARE IP కోర్ కోసం పారామితులను జాబితా చేస్తుంది.

పట్టిక 20. LPM_COMPARE IP కోర్ పారామితులు

పారామీటర్ పేరు

టైప్ చేయండి

అవసరం

LPM_WIDTH

పూర్ణాంకం అవును

LPM_REPRESENTATION

స్ట్రింగ్

నం

LPM_PIPELINE

పూర్ణాంకం నం

LPM_HINT

స్ట్రింగ్

నం

వివరణ
డేటా[] మరియు డేటాబ్[] పోర్ట్‌ల వెడల్పులను పేర్కొంటుంది.
ప్రదర్శించబడిన పోలిక రకాన్ని నిర్దేశిస్తుంది. విలువలు సంతకం చేయబడ్డాయి మరియు అన్‌సైన్డ్ చేయబడ్డాయి. విస్మరించబడితే, డిఫాల్ట్ విలువ సైన్ ఇన్ చేయబడలేదు. ఈ పరామితి విలువ SIGNEDకి సెట్ చేయబడినప్పుడు, కంపారిటర్ డేటా ఇన్‌పుట్‌ను సంతకం చేసిన ఇద్దరి పూరకంగా అర్థం చేసుకుంటాడు.
alb, aeb, agb, ageb, aleb లేదా aneb అవుట్‌పుట్‌తో అనుబంధించబడిన జాప్యం యొక్క గడియార చక్రాల సంఖ్యను పేర్కొంటుంది. సున్నా (0) విలువ ఎటువంటి జాప్యం లేదని మరియు పూర్తిగా కలయిక ఫంక్షన్ తక్షణం చేయబడుతుందని సూచిస్తుంది. విస్మరించబడితే, డిఫాల్ట్ విలువ 0 (నాన్‌పైప్‌లైన్డ్)
VHDL డిజైన్‌లో Intel-నిర్దిష్ట పారామితులను పేర్కొనడానికి మిమ్మల్ని అనుమతిస్తుంది files (.vhd). డిఫాల్ట్ విలువ UNUSED.
కొనసాగింది…

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 28

అభిప్రాయాన్ని పంపండి

6. LPM_COMPARE (కంపారేటర్) 683490 | 2020.10.05
పారామీటర్ పేరు LPM_TYPE INTENDED_DEVICE_FAMILY
ONE_INPUT_IS_CONSTANT

స్ట్రింగ్ స్ట్రింగ్ టైప్ చేయండి
స్ట్రింగ్

అవసరమైన సంఖ్య సంఖ్య
నం

వివరణ
VHDL డిజైన్‌లో పారామీటర్ చేయబడిన మాడ్యూల్స్ (LPM) ఎంటిటీ పేరు యొక్క లైబ్రరీని గుర్తిస్తుంది files.
ఈ పరామితి మోడలింగ్ మరియు ప్రవర్తనా అనుకరణ ప్రయోజనాల కోసం ఉపయోగించబడుతుంది. పారామీటర్ ఎడిటర్ ఈ పరామితి కోసం విలువను గణిస్తుంది.
ఇంటెల్-నిర్దిష్ట పరామితి. VHDL డిజైన్‌లో ONE_INPUT_IS_CONSTANT పరామితిని పేర్కొనడానికి మీరు తప్పనిసరిగా LPM_HINT పరామితిని ఉపయోగించాలి fileలు. విలువలు అవును, కాదు లేదా ఉపయోగించనివి. ఇన్‌పుట్ స్థిరంగా ఉంటే ఎక్కువ ఆప్టిమైజేషన్‌ని అందిస్తుంది. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ NO.

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 29

683490 | 2020.10.05 అభిప్రాయాన్ని పంపండి

7. ALTECC (ఎర్రర్ కరెక్షన్ కోడ్: ఎన్‌కోడర్/డీకోడర్) IP కోర్

చిత్రం 6.

ECC కార్యాచరణను అమలు చేయడానికి Intel ALTECC IP కోర్‌ను అందిస్తుంది. ECC డేటా ట్రాన్స్మిషన్ సమయంలో రిసీవర్ వైపు సంభవించే పాడైన డేటాను గుర్తిస్తుంది. ఈ ఎర్రర్ దిద్దుబాటు పద్ధతి పేలుళ్లలో కాకుండా యాదృచ్ఛికంగా లోపాలు సంభవించే పరిస్థితులకు బాగా సరిపోతుంది.

ECC డేటా ఎన్‌కోడింగ్ మరియు డీకోడింగ్ ప్రక్రియ ద్వారా లోపాలను గుర్తిస్తుంది. ఉదాహరణకుample, ECCని ట్రాన్స్‌మిషన్ అప్లికేషన్‌లో వర్తింపజేసినప్పుడు, మూలాధారం నుండి చదివిన డేటా రిసీవర్‌కు పంపబడే ముందు ఎన్‌కోడ్ చేయబడుతుంది. ఎన్‌కోడర్ నుండి అవుట్‌పుట్ (కోడ్ వర్డ్) సమాన బిట్‌ల సంఖ్యతో అనుబంధించబడిన ముడి డేటాను కలిగి ఉంటుంది. జతచేయబడిన పారిటీ బిట్‌ల ఖచ్చితమైన సంఖ్య ఇన్‌పుట్ డేటాలోని బిట్‌ల సంఖ్యపై ఆధారపడి ఉంటుంది. ఉత్పత్తి చేయబడిన కోడ్ పదం గమ్యస్థానానికి బదిలీ చేయబడుతుంది.

రిసీవర్ కోడ్ పదాన్ని స్వీకరించి డీకోడ్ చేస్తుంది. డీకోడర్ ద్వారా పొందిన సమాచారం లోపం కనుగొనబడిందో లేదో నిర్ణయిస్తుంది. డీకోడర్ సింగిల్-బిట్ మరియు డబుల్-బిట్ ఎర్రర్‌లను గుర్తిస్తుంది, అయితే పాడైన డేటాలోని సింగిల్-బిట్ లోపాలను మాత్రమే పరిష్కరించగలదు. ఈ రకమైన ECC అనేది సింగిల్ ఎర్రర్ కరెక్షన్ డబుల్ ఎర్రర్ డిటెక్షన్ (SECDED).

మీరు ALTECC IP కోర్ యొక్క ఎన్‌కోడర్ మరియు డీకోడర్ ఫంక్షన్‌లను కాన్ఫిగర్ చేయవచ్చు. డేటా ఇన్‌పుట్ మరియు ఉత్పత్తి చేయబడిన పారిటీ బిట్‌ల కలయికతో కూడిన కోడ్ పదాన్ని రూపొందించడానికి ఎన్‌కోడర్‌కు డేటా ఇన్‌పుట్ ఎన్‌కోడ్ చేయబడింది. ఉత్పత్తి చేయబడిన కోడ్ పదం దాని గమ్యస్థాన బ్లాక్‌ను చేరుకోవడానికి ముందు డీకోడింగ్ కోసం డీకోడర్ మాడ్యూల్‌కు ప్రసారం చేయబడుతుంది. అందుకున్న కోడ్ వర్డ్‌లో ఏదైనా లోపం ఉందో లేదో తెలుసుకోవడానికి డీకోడర్ సిండ్రోమ్ వెక్టర్‌ను ఉత్పత్తి చేస్తుంది. సింగిల్-బిట్ లోపం డేటా బిట్‌ల నుండి వచ్చినట్లయితే మాత్రమే డీకోడర్ డేటాను సరిచేస్తుంది. ఒకే-బిట్ లోపం సమాన బిట్‌ల నుండి వచ్చినట్లయితే సిగ్నల్ ఫ్లాగ్ చేయబడదు. డీకోడర్ అందుకున్న డేటా యొక్క స్థితిని మరియు ఏదైనా ఉంటే డీకోడర్ తీసుకున్న చర్యను చూపించడానికి ఫ్లాగ్ సిగ్నల్‌లను కూడా కలిగి ఉంది.

కింది గణాంకాలు ALTECC IP కోర్ కోసం పోర్ట్‌లను చూపుతాయి.

ALTECC ఎన్‌కోడర్ పోర్ట్‌లు

ALTECC_ENCODER

సమాచారం[]

q[]

గడియారం

గడియారం

aclr

inst

ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్‌మార్క్‌లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్‌లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

ISO 9001:2015 నమోదు చేయబడింది

7. ALTECC (ఎర్రర్ కరెక్షన్ కోడ్: ఎన్‌కోడర్/డీకోడర్) IP కోర్ 683490 | 2020.10.05

మూర్తి 7. ALTECC డీకోడర్ పోర్ట్‌లు

ALTECC_DECODER

డేటా[] క్లాక్ క్లాకెన్

q[] err_detected err_corrected
తప్పు_ప్రాణాంతకం

aclr

inst

7.1 ALTECC ఎన్‌కోడర్ ఫీచర్‌లు

ALTECC ఎన్‌కోడర్ IP కోర్ క్రింది లక్షణాలను అందిస్తుంది: · హామింగ్ కోడింగ్ స్కీమ్‌ని ఉపయోగించి డేటా ఎన్‌కోడింగ్‌ను నిర్వహిస్తుంది · 2 బిట్‌ల డేటా వెడల్పుకు మద్దతు ఇస్తుంది · సంతకం చేసిన మరియు సంతకం చేయని డేటా ప్రాతినిధ్య ఆకృతికి మద్దతు ఇస్తుంది · ఒకటి లేదా రెండు క్లాక్ సైకిళ్ల అవుట్‌పుట్ జాప్యంతో పైప్‌లైనింగ్‌కు మద్దతు ఇస్తుంది · ఐచ్ఛికానికి మద్దతు ఇస్తుంది అసమకాలిక క్లియర్ మరియు క్లాక్ ఎనేబుల్ పోర్ట్స్

ALTECC ఎన్‌కోడర్ IP కోర్ హ్యామింగ్ కోడింగ్ స్కీమ్‌ని ఉపయోగించి డేటాను తీసుకుంటుంది మరియు ఎన్‌కోడ్ చేస్తుంది. హామింగ్ కోడింగ్ స్కీమ్ పారిటీ బిట్‌లను పొందింది మరియు అవుట్‌పుట్ కోడ్ పదాన్ని ఉత్పత్తి చేయడానికి వాటిని అసలు డేటాకు జోడిస్తుంది. అనుబంధిత బిట్‌ల సంఖ్య డేటా వెడల్పుపై ఆధారపడి ఉంటుంది.

కింది పట్టిక డేటా వెడల్పుల యొక్క విభిన్న పరిధుల కోసం జతచేయబడిన సమాన బిట్‌ల సంఖ్యను జాబితా చేస్తుంది. టోటల్ బిట్‌ల కాలమ్ మొత్తం ఇన్‌పుట్ డేటా బిట్‌లు మరియు అనుబంధిత పారిటీ బిట్‌ల సంఖ్యను సూచిస్తుంది.

పట్టిక 21.

డేటా వెడల్పు ప్రకారం పారిటీ బిట్స్ మరియు కోడ్ వర్డ్ సంఖ్య

డేటా వెడల్పు

పారిటీ బిట్‌ల సంఖ్య

మొత్తం బిట్స్ (కోడ్ వర్డ్)

2-4

3+1

6-8

5-11

4+1

10-16

12-26

5+1

18-32

27-57

6+1

34-64

58-64

7+1

66-72

పారిటీ బిట్ ఉత్పన్నం సరి-సమానత తనిఖీని ఉపయోగిస్తుంది. అదనపు 1 బిట్ (పట్టికలో +1గా చూపబడింది) కోడ్ పదం యొక్క MSB వలె సమాన బిట్‌లకు జోడించబడింది. ఇది కోడ్ పదం 1ల సరి సంఖ్యను కలిగి ఉందని నిర్ధారిస్తుంది. ఉదాహరణకుample, డేటా వెడల్పు 4 బిట్‌లు అయితే, మొత్తం 4 బిట్‌లతో కోడ్ వర్డ్‌గా మారడానికి డేటాకు 8 పారిటీ బిట్‌లు జోడించబడతాయి. 7-బిట్ కోడ్ వర్డ్ యొక్క LSB నుండి 8 బిట్‌లు బేసి సంఖ్య 1లను కలిగి ఉంటే, కోడ్ వర్డ్‌లోని 8వ బిట్ (MSB) 1 కోడ్ వర్డ్‌లోని మొత్తం 1ల సంఖ్యను సరి చేస్తుంది.
కింది బొమ్మ ఉత్పత్తి చేయబడిన కోడ్ పదాన్ని మరియు 8-బిట్ డేటా ఇన్‌పుట్‌లో పారిటీ బిట్‌లు మరియు డేటా బిట్‌ల అమరికను చూపుతుంది.

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 31

7. ALTECC (ఎర్రర్ కరెక్షన్ కోడ్: ఎన్‌కోడర్/డీకోడర్) IP కోర్ 683490 | 2020.10.05

చిత్రం 8.

8-బిట్ జనరేటెడ్ కోడ్ వర్డ్‌లో పారిటీ బిట్‌లు మరియు డేటా బిట్‌ల అమరిక

ఎంఎస్‌బి

LSB

4 సమాన బిట్‌లు

4 డేటా బిట్స్

8

1

ALTECC ఎన్‌కోడర్ IP కోర్ ఒకేసారి 2 నుండి 64 బిట్‌ల ఇన్‌పుట్ వెడల్పులను మాత్రమే అంగీకరిస్తుంది. Intel పరికరాలకు ఆదర్శంగా సరిపోయే 12 బిట్‌లు, 29 బిట్‌లు మరియు 64 బిట్‌ల ఇన్‌పుట్ వెడల్పులు వరుసగా 18 బిట్‌లు, 36 బిట్‌లు మరియు 72 బిట్‌ల అవుట్‌పుట్‌లను ఉత్పత్తి చేస్తాయి. మీరు పారామీటర్ ఎడిటర్‌లో బిట్‌సెలక్షన్ పరిమితిని నియంత్రించవచ్చు.

7.2 వెరిలాగ్ HDL ప్రోటోటైప్ (ALTECC_ENCODER)
కింది వెరిలాగ్ HDL ప్రోటోటైప్ వెరిలాగ్ డిజైన్‌లో ఉంది File (.v) lpm.v లో ఎడాసింథసిస్ డైరెక్టరీ.
మాడ్యూల్ altecc_encoder #(పరామితి intended_device_family = “ఉపయోగించనిది”, పారామితి lpm_pipeline = 0, పారామితి వెడల్పు_కోడ్‌వర్డ్ = 8, పారామీటర్ వెడల్పు_డేటావర్డ్ = 8, పారామీటర్ lpm_type = “altecc_encoder”, పారామితి lpm_type = “altecc_encoder”, పారామితి lpm_hint ఇన్‌పుట్ ఇన్‌పుట్” వైర్ క్లాకెన్, ఇన్‌పుట్ వైర్ [width_dataword-1:0] డేటా, అవుట్‌పుట్ వైర్ [width_codeword-1:0] q); ముగింపు మాడ్యూల్

7.3 వెరిలాగ్ HDL ప్రోటోటైప్ (ALTECC_DECODER)
కింది వెరిలాగ్ HDL ప్రోటోటైప్ వెరిలాగ్ డిజైన్‌లో ఉంది File (.v) lpm.v లో ఎడాసింథసిస్ డైరెక్టరీ.
మాడ్యూల్ altecc_decoder #(పరామితి intended_device_family = “ఉపయోగించనిది”, పారామితి lpm_pipeline = 0, పారామీటర్ వెడల్పు_కోడ్‌వర్డ్ = 8, పారామీటర్ వెడల్పు_డేటావర్డ్ = 8, పారామీటర్ lpm_type = “altecc_decoder”, పారామితి lpm_type = “altecc_decoder”, పారామితి lpm_hint ఇన్‌పుట్, ఇన్‌పుట్ ఇన్‌పుట్ క్లాక్ వైర్ క్లాకెన్, ఇన్‌పుట్ వైర్ [వెడల్పు_కోడ్‌వర్డ్-1:0] డేటా, అవుట్‌పుట్ వైర్ ఎర్రర్_కరెక్ట్ చేయబడింది, అవుట్‌పుట్ వైర్ ఎర్రర్_డిటెక్టెడ్, అవుట్‌ట్ వైర్ ఎర్ర_ఫాటల్, అవుట్‌పుట్ వైర్ [విడ్త్_డేటావర్డ్-1:0] q); ముగింపు మాడ్యూల్

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 32

అభిప్రాయాన్ని పంపండి

7. ALTECC (ఎర్రర్ కరెక్షన్ కోడ్: ఎన్‌కోడర్/డీకోడర్) IP కోర్ 683490 | 2020.10.05
7.4 VHDL కాంపోనెంట్ డిక్లరేషన్ (ALTECC_ENCODER)
VHDL కాంపోనెంట్ డిక్లరేషన్ VHDL డిజైన్‌లో ఉంది File (.vhd) altera_mf_components.vhd in the librariesvhdlaltera_mf డైరెక్టరీ.
కాంపోనెంట్ altecc_encoder జెనరిక్ ( intended_device_family:string := “unused”; lpm_pipeline:natural := 0; width_codeword:natural := 8; width_dataword:natural := 8; lpm_hint:string := “UNUSED_coder ”); పోర్ట్ (aclr:in std_logic := '0'; clock:in std_logic := '0'; clocken:in std_logic := '1'; డేటా: std_logic_vector (width_dataword-1 downto 0); q:out std_logic_vector(widd_word -1 నుండి 0 వరకు)); ముగింపు భాగం;
7.5 VHDL కాంపోనెంట్ డిక్లరేషన్ (ALTECC_DECODER)
VHDL కాంపోనెంట్ డిక్లరేషన్ VHDL డిజైన్‌లో ఉంది File (.vhd) altera_mf_components.vhd in the librariesvhdlaltera_mf డైరెక్టరీ.
కాంపోనెంట్ altecc_decoder జెనరిక్ ( intended_device_family:string := “unused”; lpm_pipeline:natural := 0; width_codeword:natural := 8; width_dataword:natural := 8; lpm_hint:string := “UNUSED_te_string; ”); పోర్ట్ (aclr:in std_logic := '0'; clock:in std_logic := '0'; clocken:in std_logic := '1'; డేటా: std_logic_vector (width_codeword-1 downto 0); err_corrected : out std_logic; : అవుట్ std_logic; q: out std_logic_vector (width_dataword-1 downto 0); syn_e : అవుట్ std_logic); ముగింపు భాగం;
7.6 VHDL LIBRARY_USE డిక్లరేషన్
మీరు VHDL కాంపోనెంట్ డిక్లరేషన్‌ని ఉపయోగిస్తే VHDL లైబ్రరీ-యూజ్ డిక్లరేషన్ అవసరం లేదు.
లైబ్రరీ ఆల్టెరా_ఎమ్ఎఫ్; altera_mf.altera_mf_components.allని ఉపయోగించండి;
7.7 ఎన్‌కోడర్ పోర్ట్‌లు
కింది పట్టికలు ALTECC ఎన్‌కోడర్ IP కోర్ కోసం ఇన్‌పుట్ మరియు అవుట్‌పుట్ పోర్ట్‌లను జాబితా చేస్తాయి.

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 33

7. ALTECC (ఎర్రర్ కరెక్షన్ కోడ్: ఎన్‌కోడర్/డీకోడర్) IP కోర్ 683490 | 2020.10.05

పట్టిక 22. ALTECC ఎన్‌కోడర్ ఇన్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు

అవసరం

వివరణ

సమాచారం[]

అవును

డేటా ఇన్‌పుట్ పోర్ట్. ఇన్‌పుట్ పోర్ట్ పరిమాణం WIDTH_DATAWORDపై ఆధారపడి ఉంటుంది

పరామితి విలువ. డేటా[] పోర్ట్ ఎన్‌కోడ్ చేయవలసిన ముడి డేటాను కలిగి ఉంది.

గడియారం

అవును

ఎన్‌కోడింగ్ ఆపరేషన్‌ను సమకాలీకరించడానికి క్లాక్ సిగ్నల్‌ను అందించే క్లాక్ ఇన్‌పుట్ పోర్ట్.

LPM_PIPELINE విలువ 0 కంటే ఎక్కువగా ఉన్నప్పుడు క్లాక్ పోర్ట్ అవసరం.

గడియారం

నం

గడియారం ప్రారంభించండి. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ 1.

aclr

నం

అసమకాలిక స్పష్టమైన ఇన్‌పుట్. యాక్టివ్ హై aclr సిగ్నల్‌ని ఎప్పుడైనా ఉపయోగించవచ్చు

రిజిస్టర్లను అసమకాలికంగా క్లియర్ చేయండి.

పట్టిక 23. ALTECC ఎన్‌కోడర్ అవుట్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు q[]

అవసరం అవును

వివరణ
ఎన్కోడ్ చేయబడిన డేటా అవుట్‌పుట్ పోర్ట్. అవుట్‌పుట్ పోర్ట్ పరిమాణం WIDTH_CODEWORD పరామితి విలువపై ఆధారపడి ఉంటుంది.

7.8 డీకోడర్ పోర్ట్స్

కింది పట్టికలు ALTECC డీకోడర్ IP కోర్ కోసం ఇన్‌పుట్ మరియు అవుట్‌పుట్ పోర్ట్‌లను జాబితా చేస్తాయి.

టేబుల్ 24. ALTECC డీకోడర్ ఇన్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు

అవసరం

వివరణ

సమాచారం[]

అవును

డేటా ఇన్‌పుట్ పోర్ట్. ఇన్‌పుట్ పోర్ట్ పరిమాణం WIDTH_CODEWORD పరామితి విలువపై ఆధారపడి ఉంటుంది.

గడియారం

అవును

ఎన్‌కోడింగ్ ఆపరేషన్‌ను సమకాలీకరించడానికి క్లాక్ సిగ్నల్‌ను అందించే క్లాక్ ఇన్‌పుట్ పోర్ట్. LPM_PIPELINE విలువ 0 కంటే ఎక్కువగా ఉన్నప్పుడు క్లాక్ పోర్ట్ అవసరం.

గడియారం

నం

గడియారం ప్రారంభించండి. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ 1.

aclr

నం

అసమకాలిక స్పష్టమైన ఇన్‌పుట్. రిజిస్టర్‌లను అసమకాలికంగా క్లియర్ చేయడానికి యాక్టివ్ హై aclr సిగ్నల్‌ని ఎప్పుడైనా ఉపయోగించవచ్చు.

టేబుల్ 25. ALTECC డీకోడర్ అవుట్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు q[]

అవసరం అవును

వివరణ
డీకోడ్ చేయబడిన డేటా అవుట్‌పుట్ పోర్ట్. అవుట్‌పుట్ పోర్ట్ పరిమాణం WIDTH_DATAWORD పరామితి విలువపై ఆధారపడి ఉంటుంది.

తప్పు_గుర్తించబడింది అవును

అందుకున్న డేటా యొక్క స్థితిని ప్రతిబింబించేలా ఫ్లాగ్ సిగ్నల్ మరియు కనుగొనబడిన ఏవైనా లోపాలను పేర్కొంటుంది.

err_correcte అవును d

అందుకున్న డేటా స్థితిని ప్రతిబింబించేలా ఫ్లాగ్ సిగ్నల్. కనుగొనబడిన మరియు సరిదిద్దబడిన సింగిల్-బిట్ దోషాన్ని సూచిస్తుంది. ఇది ఇప్పటికే సరిదిద్దబడినందున మీరు డేటాను ఉపయోగించవచ్చు.

తప్పు_ప్రాణాంతకం

అవును

అందుకున్న డేటా స్థితిని ప్రతిబింబించేలా ఫ్లాగ్ సిగ్నల్. డబుల్-బిట్ లోపం కనుగొనబడింది, కానీ సరిదిద్దబడలేదు అని సూచిస్తుంది. ఈ సిగ్నల్ నొక్కిచెప్పబడితే మీరు డేటాను ఉపయోగించకూడదు.

syn_e

నం

పారిటీలో సింగిల్-బిట్ ఎర్రర్ కనుగొనబడినప్పుడల్లా అధిక స్థాయికి వెళ్లే అవుట్‌పుట్ సిగ్నల్

బిట్స్.

7.9 ఎన్కోడర్ పారామితులు
కింది పట్టిక ALTECC ఎన్‌కోడర్ IP కోర్ కోసం పారామితులను జాబితా చేస్తుంది.

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 34

అభిప్రాయాన్ని పంపండి

7. ALTECC (ఎర్రర్ కరెక్షన్ కోడ్: ఎన్‌కోడర్/డీకోడర్) IP కోర్ 683490 | 2020.10.05

పట్టిక 26. ALTECC ఎన్‌కోడర్ పారామితులు

పారామీటర్ పేరు

టైప్ చేయండి

అవసరం

వివరణ

WIDTH_DATAWORD

పూర్ణాంకం అవును

ముడి డేటా వెడల్పును నిర్దేశిస్తుంది. విలువలు 2 నుండి 64 వరకు ఉంటాయి. విస్మరించబడితే, డిఫాల్ట్ విలువ 8.

WIDTH_CODEWORD

పూర్ణాంకం అవును

సంబంధిత కోడ్ పదం యొక్క వెడల్పును నిర్దేశిస్తుంది. చెల్లుబాటు అయ్యే విలువలు 6, 72, 9 మరియు 17 మినహా 33 నుండి 65 వరకు ఉంటాయి. విస్మరించబడితే, డిఫాల్ట్ విలువ 13.

LPM_PIPELINE

పూర్ణాంకం నం

సర్క్యూట్ కోసం పైప్‌లైన్‌ను నిర్దేశిస్తుంది. విలువలు 0 నుండి 2 వరకు ఉంటాయి. విలువ 0 అయితే, పోర్ట్‌లు నమోదు చేయబడవు. విలువ 1 అయితే, అవుట్‌పుట్ పోర్ట్‌లు నమోదు చేయబడతాయి. విలువ 2 అయితే, ఇన్‌పుట్ మరియు అవుట్‌పుట్ పోర్ట్‌లు నమోదు చేయబడతాయి. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ 0.

7.10 డీకోడర్ పారామితులు

కింది పట్టిక ALTECC డీకోడర్ IP కోర్ పారామితులను జాబితా చేస్తుంది.

టేబుల్ 27. ALTECC డీకోడర్ పారామితులు

పారామీటర్ పేరు WIDTH_DATAWORD

పూర్ణాంకం టైప్ చేయండి

అవసరం

వివరణ

అవును

ముడి డేటా వెడల్పును నిర్దేశిస్తుంది. విలువలు 2 నుండి 64. ది

డిఫాల్ట్ విలువ 8.

WIDTH_CODEWORD

పూర్ణాంకం

అవును

సంబంధిత కోడ్ పదం యొక్క వెడల్పును నిర్దేశిస్తుంది. విలువలు 6

72కి, 9, 17, 33 మరియు 65 మినహాయించి. విస్మరించబడితే, డిఫాల్ట్ విలువ

13 ఉంది.

LPM_PIPELINE

పూర్ణాంకం

నం

సర్క్యూట్ యొక్క రిజిస్టర్ను నిర్దేశిస్తుంది. విలువలు 0 నుండి 2 వరకు ఉంటాయి. అయితే

విలువ 0, రిజిస్టర్ అమలు చేయబడలేదు. విలువ 1 అయితే, ది

అవుట్పుట్ నమోదు చేయబడింది. విలువ 2 అయితే, ఇన్‌పుట్ మరియు ది

అవుట్‌పుట్ నమోదు చేయబడింది. విలువ 2 కంటే ఎక్కువ ఉంటే, అదనపు

అదనపు కోసం అవుట్‌పుట్ వద్ద రిజిస్టర్‌లు అమలు చేయబడతాయి

జాప్యాలు. విస్మరించినట్లయితే, డిఫాల్ట్ విలువ 0.

'syn_e' పోర్ట్‌ను సృష్టించండి

పూర్ణాంకం

నం

syn_e పోర్ట్‌ని సృష్టించడానికి ఈ పరామితిని ఆన్ చేయండి.

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 35

683490 | 2020.10.05 అభిప్రాయాన్ని పంపండి

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్

చిత్రం 9.

Intel FPGA మల్టిప్లై యాడర్ (Intel Stratix 10, Intel Arria 10, మరియు Intel Cyclone 10 GX పరికరాలు) లేదా ALTERA_MULT_ADD (Arria V, Stratix V, మరియు Cyclone V పరికరాలు) IP కోర్ మల్టిప్లైయర్-యాడర్‌ని అమలు చేయడానికి మిమ్మల్ని అనుమతిస్తుంది.

కింది బొమ్మ Intel FPGA మల్టిప్లై యాడర్ లేదా ALTERA_MULT_ADD IP కోర్ కోసం పోర్ట్‌లను చూపుతుంది.

Intel FPGA మల్టిప్లై యాడర్ లేదా ALTERA_MULT_ADD పోర్ట్‌లు

Intel FPGA మల్టిప్లై యాడర్ లేదా ALTERA_MULT_ADD

డేటా
accum_sload chainin[]

స్కానౌటా[] ఫలితం[]

aclr0 aclr1

inst
గుణకం-యాడర్ జత ఇన్‌పుట్‌లను అంగీకరిస్తుంది, విలువలను కలిపి గుణించి ఆపై అన్ని ఇతర జతల ఉత్పత్తులకు జోడిస్తుంది లేదా తీసివేస్తుంది.
ఇన్‌పుట్ డేటా వెడల్పులన్నీ 9-బిట్‌ల వెడల్పు లేదా చిన్నవిగా ఉంటే, ఫంక్షన్ 9 x 9 కాన్ఫిగరేషన్‌కు మద్దతు ఇచ్చే పరికరాల కోసం DSP బ్లాక్‌లో 9 x 9 బిట్ ఇన్‌పుట్ గుణకం కాన్ఫిగరేషన్‌ను ఉపయోగిస్తుంది. కాకపోతే, 18 బిట్‌లు మరియు 18 బిట్‌ల మధ్య వెడల్పుతో డేటాను ప్రాసెస్ చేయడానికి DSP బ్లాక్ 10 × 18-బిట్ ఇన్‌పుట్ మల్టిప్లైయర్‌లను ఉపయోగిస్తుంది. బహుళ Intel FPGA మల్టిప్లై యాడర్ లేదా ALTERA_MULT_ADD IP కోర్లు డిజైన్‌లో ఏర్పడితే, విధులు ఇలా పంపిణీ చేయబడతాయి

ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్‌మార్క్‌లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్‌లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

ISO 9001:2015 నమోదు చేయబడింది

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05
వీలైనన్ని విభిన్న DSP బ్లాక్‌లు, తద్వారా ఈ బ్లాక్‌లకు రూటింగ్ మరింత సరళంగా ఉంటుంది. DSP బ్లాక్‌కు తక్కువ మల్టిప్లైయర్‌లు మిగిలిన పరికరానికి మార్గాలను తగ్గించడం ద్వారా బ్లాక్‌లోకి మరిన్ని రూటింగ్ ఎంపికలను అనుమతిస్తాయి.
కింది సిగ్నల్‌ల కోసం రిజిస్టర్‌లు మరియు అదనపు పైప్‌లైన్ రిజిస్టర్‌లు కూడా DSP బ్లాక్‌లో ఉంచబడ్డాయి: · డేటా ఇన్‌పుట్ · సంతకం లేదా సంతకం చేయని ఎంపిక · ఎంపికను జోడించండి లేదా తీసివేయండి · మల్టిప్లైయర్‌ల ఉత్పత్తులు
అవుట్‌పుట్ ఫలితం విషయంలో, మొదటి రిజిస్టర్ DSP బ్లాక్‌లో ఉంచబడుతుంది. అయితే అదనపు జాప్యం రిజిస్టర్లు బ్లాక్ వెలుపల లాజిక్ మూలకాలలో ఉంచబడ్డాయి. DSP బ్లాక్‌కు పెరిఫెరల్, గుణకం, నియంత్రణ సిగ్నల్ ఇన్‌పుట్‌లు మరియు యాడర్ యొక్క అవుట్‌పుట్‌లతో సహా, మిగిలిన పరికరంతో కమ్యూనికేట్ చేయడానికి సాధారణ రూటింగ్‌ను ఉపయోగిస్తుంది. ఫంక్షన్‌లోని అన్ని కనెక్షన్‌లు DSP బ్లాక్ లోపల అంకితమైన రూటింగ్‌ని ఉపయోగిస్తాయి. మీరు గుణకం యొక్క నమోదిత ఇన్‌పుట్ డేటాను ఒక గుణకం నుండి ప్రక్కనే ఉన్న గుణకంకి మార్చడానికి ఎంపికను ఎంచుకున్నప్పుడు ఈ అంకితమైన రూటింగ్ షిఫ్ట్ రిజిస్టర్ చెయిన్‌లను కలిగి ఉంటుంది.
స్ట్రాటిక్స్ V మరియు అర్రియా V పరికర శ్రేణిలో DSP బ్లాక్‌ల గురించి మరింత సమాచారం కోసం, సాహిత్యం మరియు సాంకేతిక డాక్యుమెంటేషన్ పేజీలోని సంబంధిత హ్యాండ్‌బుక్‌ల DSP బ్లాక్‌ల అధ్యాయాన్ని చూడండి.
సంబంధిత సమాచారం AN 306: FPGA పరికరాలలో మల్టిప్లయర్‌లను అమలు చేయడం
Intel FPGA పరికరాలలో DSP మరియు మెమరీ బ్లాక్‌లను ఉపయోగించి మల్టిప్లైయర్‌లను అమలు చేయడం గురించి మరింత సమాచారాన్ని అందిస్తుంది.
8.1 ఫీచర్లు
Intel FPGA మల్టిప్లై యాడర్ లేదా ALTERA_MULT_ADD IP కోర్ క్రింది లక్షణాలను అందిస్తుంది: · రెండు కాంప్లెక్స్ యొక్క గుణకార కార్యకలాపాలను నిర్వహించడానికి గుణకాన్ని రూపొందిస్తుంది
సంఖ్యల గమనిక: స్థానికంగా మద్దతిచ్చే పరిమాణం కంటే పెద్ద మల్టిప్లైయర్‌లను నిర్మిస్తున్నప్పుడు/
DSP బ్లాక్‌ల క్యాస్కేడింగ్ ఫలితంగా పనితీరు ప్రభావం ఉంటుంది. · 1 256 బిట్‌ల డేటా వెడల్పులకు మద్దతు ఇస్తుంది · సంతకం చేసిన మరియు సంతకం చేయని డేటా ప్రాతినిధ్య ఆకృతికి మద్దతు ఇస్తుంది · కాన్ఫిగర్ చేయదగిన ఇన్‌పుట్ జాప్యంతో పైప్‌లైనింగ్‌కు మద్దతు ఇస్తుంది · సంతకం మరియు సంతకం చేయని డేటా మద్దతు మధ్య డైనమిక్‌గా మారడానికి ఒక ఎంపికను అందిస్తుంది · జోడించడం మరియు తీసివేయడం ఆపరేషన్ మధ్య డైనమిక్‌గా మారడానికి ఒక ఎంపికను అందిస్తుంది · మద్దతు ఐచ్ఛిక అసమకాలిక మరియు సమకాలీకరణ స్పష్టమైన మరియు గడియారం ఇన్‌పుట్ పోర్ట్‌లను ఎనేబుల్ చేస్తుంది · సిస్టోలిక్ ఆలస్యం రిజిస్టర్ మోడ్‌కు మద్దతు ఇస్తుంది · మల్టిప్లైయర్‌కు 8 ప్రీ-లోడ్ కోఎఫీషియంట్స్‌తో ప్రీ-యాడర్‌కు మద్దతు ఇస్తుంది · అక్యుమ్యులేటర్ ఫీడ్‌బ్యాక్‌ను పూర్తి చేయడానికి ప్రీ-లోడ్ స్థిరాంకానికి మద్దతు ఇస్తుంది

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 37

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

8.1.1 ప్రీ-యాడర్
ప్రీ-యాడర్‌తో, గుణకం ఫీడింగ్ చేయడానికి ముందు కూడికలు లేదా తీసివేతలు చేయబడతాయి.
ఐదు ప్రీ-యాడర్ మోడ్‌లు ఉన్నాయి: · సాధారణ మోడ్ · గుణకం మోడ్ · ఇన్‌పుట్ మోడ్ · స్క్వేర్ మోడ్ · స్థిరమైన మోడ్

గమనిక:

ప్రీ-యాడర్‌ని ఉపయోగించినప్పుడు (ప్రీ-యాడర్ కోఎఫీషియంట్/ఇన్‌పుట్/స్క్వేర్ మోడ్), గుణకంలోని అన్ని డేటా ఇన్‌పుట్‌లు తప్పనిసరిగా ఒకే క్లాక్ సెట్టింగ్‌ను కలిగి ఉండాలి.

8.1.1.1. ప్రీ-యాడర్ సింపుల్ మోడ్

ఈ మోడ్‌లో, రెండు ఆపరేండ్‌లు ఇన్‌పుట్ పోర్ట్‌ల నుండి తీసుకోబడ్డాయి మరియు ప్రీ-యాడర్ ఉపయోగించబడదు లేదా బైపాస్ చేయబడదు. ఇది డిఫాల్ట్ మోడ్.

మూర్తి 10. ప్రీ-యాడర్ సింపుల్ మోడ్
a0 b0

Mult0

ఫలితం

8.1.1.2 ప్రీ-యాడర్ కోఎఫీషియంట్ మోడ్
ఈ మోడ్‌లో, ఒక మల్టిప్లైయర్ ఒపెరాండ్ ప్రీ-యాడర్ నుండి తీసుకోబడింది మరియు మరొక ఆపరాండ్ అంతర్గత గుణకం నిల్వ నుండి ఉద్భవించింది. గుణకం నిల్వ 8 ప్రీసెట్ స్థిరాంకాల వరకు అనుమతిస్తుంది. గుణకం ఎంపిక సంకేతాలు coefsel[0..3].
ఈ మోడ్ క్రింది సమీకరణంలో వ్యక్తీకరించబడింది.

కిందిది గుణకం యొక్క ప్రీ-యాడర్ కోఎఫీషియంట్ మోడ్‌ను చూపుతుంది.

మూర్తి 11. ప్రీ-యాడర్ కోఎఫీషియంట్ మోడ్

ప్రెడర్

a0

Mult0

+/-

ఫలితం

b0

coefsel0 coef

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 38

అభిప్రాయాన్ని పంపండి

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05
8.1.1.3 ప్రీ-యాడర్ ఇన్‌పుట్ మోడ్ ఈ మోడ్‌లో, ఒక మల్టిప్లైయర్ ఆపరాండ్ ప్రీ-యాడర్ నుండి తీసుకోబడింది మరియు మరొక ఆపరాండ్ డేటాక్[] ఇన్‌పుట్ పోర్ట్ నుండి తీసుకోబడింది. ఈ మోడ్ క్రింది సమీకరణంలో వ్యక్తీకరించబడింది.

కిందిది గుణకం యొక్క ప్రీ-యాడర్ ఇన్‌పుట్ మోడ్‌ను చూపుతుంది.

మూర్తి 12. ప్రీ-యాడర్ ఇన్‌పుట్ మోడ్
a0 b0

Mult0

+/-

ఫలితం

c0

8.1.1.4 ప్రీ-యాడర్ స్క్వేర్ మోడ్ ఈ మోడ్ క్రింది సమీకరణంలో వ్యక్తీకరించబడింది.

క్రింది రెండు మల్టిప్లైయర్‌ల ప్రీ-యాడర్ స్క్వేర్ మోడ్‌ను చూపుతుంది.

మూర్తి 13. ప్రీ-యాడర్ స్క్వేర్ మోడ్
a0 b0

Mult0

+/-

ఫలితం

8.1.1.5 ప్రీ-యాడర్ స్థిరమైన మోడ్
ఈ మోడ్‌లో, ఒక గుణకం ఆపరాండ్ ఇన్‌పుట్ పోర్ట్ నుండి తీసుకోబడింది మరియు మరొక ఆపరాండ్ అంతర్గత గుణకం నిల్వ నుండి ఉద్భవించింది. గుణకం నిల్వ 8 ప్రీసెట్ స్థిరాంకాల వరకు అనుమతిస్తుంది. గుణకం ఎంపిక సంకేతాలు coefsel[0..3].
ఈ మోడ్ క్రింది సమీకరణంలో వ్యక్తీకరించబడింది.

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 39

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

కింది బొమ్మ గుణకం యొక్క ప్రీ-యాడర్ స్థిరమైన మోడ్‌ను చూపుతుంది.

మూర్తి 14. ప్రీ-యాడర్ స్థిరమైన మోడ్
a0

Mult0

ఫలితం

coefsel0
coef
8.1.2 సిస్టోలిక్ ఆలస్యం రిజిస్టర్
సిస్టోలిక్ ఆర్కిటెక్చర్‌లో, ఇన్‌పుట్ డేటా డేటా బఫర్‌గా పనిచేసే రిజిస్టర్‌ల క్యాస్‌కేడ్‌లోకి అందించబడుతుంది. ప్రతి రిజిస్టర్ ఒక ఇన్‌పుట్ లను అందిస్తుందిample ఒక గుణకం, అది సంబంధిత గుణకం ద్వారా గుణించబడుతుంది. చైన్ యాడర్ గుణకం నుండి క్రమంగా కలిపిన ఫలితాలను మరియు తుది ఫలితాన్ని రూపొందించడానికి చైన్[] ఇన్‌పుట్ పోర్ట్ నుండి గతంలో నమోదు చేయబడిన ఫలితాన్ని నిల్వ చేస్తుంది. ప్రతి గుణకారం-జోడింపు మూలకం తప్పనిసరిగా ఒకే చక్రం ద్వారా ఆలస్యం చేయబడాలి, తద్వారా ఫలితాలు కలిసి జోడించినప్పుడు తగిన విధంగా సమకాలీకరించబడతాయి. ప్రతి వరుస ఆలస్యం గుణకం మెమరీ మరియు వాటి సంబంధిత గుణకారం-జోడించే మూలకాల యొక్క డేటా బఫర్ రెండింటినీ పరిష్కరించడానికి ఉపయోగించబడుతుంది. ఉదాహరణకుample, రెండవ గుణకార యాడ్ మూలకం కోసం ఒక ఆలస్యం, మూడవ గుణకారం-జోడించు మూలకం కోసం రెండు ఆలస్యాలు మరియు మొదలైనవి.
మూర్తి 15. సిస్టోలిక్ రిజిస్టర్లు
సిస్టోలిక్ రిజిస్టర్లు

x(t) c(0)

S -1

S -1

c(1)

S -1

S -1

c(2)

S -1

S -1

c(N-1)

S -1

S -1

S -1

S -1 y(t)

x(t) ఇన్‌పుట్ s యొక్క నిరంతర స్ట్రీమ్ నుండి ఫలితాలను సూచిస్తుందిamples మరియు y(t)
ఇన్‌పుట్ s సమితి యొక్క సమ్మషన్‌ను సూచిస్తుందిamples, మరియు సమయం లో, వారి ద్వారా గుణిస్తారు
సంబంధిత గుణకాలు. ఇన్‌పుట్ మరియు అవుట్‌పుట్ ఫలితాలు రెండూ ఎడమ నుండి కుడికి ప్రవహిస్తాయి. c(0) నుండి c(N-1) గుణకాలను సూచిస్తుంది. సిస్టోలిక్ ఆలస్యం రిజిస్టర్‌లు S-1 చే సూచించబడతాయి, అయితే 1 ఒక గడియారం ఆలస్యాన్ని సూచిస్తుంది. వద్ద సిస్టోలిక్ ఆలస్యం రిజిస్టర్లు జోడించబడ్డాయి
నుండి ఫలితాలను నిర్ధారించే విధంగా పైప్‌లైనింగ్ కోసం ఇన్‌పుట్‌లు మరియు అవుట్‌పుట్‌లు
గుణకం ఆపరాండ్ మరియు సంచిత మొత్తాలు సమకాలీకరణలో ఉంటాయి. ఈ ప్రాసెసింగ్ మూలకం
ఫిల్టరింగ్ ఫంక్షన్‌ను గణించే సర్క్యూట్‌ను రూపొందించడానికి ప్రతిరూపం చేయబడింది. ఈ ఫంక్షన్
కింది సమీకరణంలో వ్యక్తీకరించబడింది.

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 40

అభిప్రాయాన్ని పంపండి

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

N అనేది అక్యుమ్యులేటర్‌లోకి ప్రవేశించిన డేటా చక్రాల సంఖ్యను సూచిస్తుంది, y(t) t సమయంలో అవుట్‌పుట్‌ను సూచిస్తుంది, A(t) t సమయంలో ఇన్‌పుట్‌ను సూచిస్తుంది మరియు B(i) గుణకాలు. సమీకరణంలోని t మరియు i ఒక నిర్దిష్ట తక్షణ సమయానికి అనుగుణంగా ఉంటాయి, కాబట్టి అవుట్‌పుట్ లను గణించడానికిample y(t) సమయంలో t, ఇన్‌పుట్ s సమూహంamples వద్ద N వేర్వేరు పాయింట్లు లేదా A(n), A(n-1), A(n-2), … A(n-N+1) అవసరం. N ఇన్‌పుట్ s సమూహంamples N గుణకాలచే గుణించబడుతుంది మరియు తుది ఫలితం yని ఏర్పరచడానికి కలిసి సంగ్రహించబడుతుంది.
సిస్టోలిక్ రిజిస్టర్ ఆర్కిటెక్చర్ సమ్-ఆఫ్-2 మరియు సమ్-ఆఫ్-4 మోడ్‌లకు మాత్రమే అందుబాటులో ఉంటుంది. రెండు సిస్టోలిక్ రిజిస్టర్ ఆర్కిటెక్చర్ మోడ్‌ల కోసం, మొదటి చైన్ సిగ్నల్ 0తో ముడిపడి ఉండాలి.
కింది బొమ్మ 2 మల్టిప్లైయర్‌ల సిస్టోలిక్ ఆలస్యం రిజిస్టర్ అమలును చూపుతుంది.
మూర్తి 16. సిస్టోలిక్ డిలే రిజిస్టర్ 2 మల్టిప్లైయర్‌ల అమలు
గొలుసు

a0

Mult0

+/-

b0

a1

Mult1

+/-

b1

ఫలితం
రెండు గుణకాల మొత్తం క్రింది సమీకరణంలో వ్యక్తీకరించబడింది.
కింది బొమ్మ 4 మల్టిప్లైయర్‌ల సిస్టోలిక్ ఆలస్యం రిజిస్టర్ అమలును చూపుతుంది.

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 41

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

మూర్తి 17. సిస్టోలిక్ డిలే రిజిస్టర్ 4 మల్టిప్లైయర్‌ల అమలు
గొలుసు

a0

Mult0

+/-

b0

a1

Mult1

+/-

b1

a2

Mult2

+/-

b2

a3

Mult3

+/-

b3

ఫలితం
నాలుగు గుణకాల మొత్తం క్రింది సమీకరణంలో వ్యక్తీకరించబడింది. మూర్తి 18. 4 గుణకాల మొత్తం
కిందిది అడ్వాన్‌ని జాబితా చేస్తుందిtagసిస్టోలిక్ రిజిస్టర్ అమలు యొక్క es: · DSP వనరుల వినియోగాన్ని తగ్గిస్తుంది · చైన్ యాడర్ నిర్మాణాన్ని ఉపయోగించి DSP బ్లాక్‌లో సమర్థవంతమైన మ్యాపింగ్‌ను ప్రారంభిస్తుంది

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 42

అభిప్రాయాన్ని పంపండి

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

8.1.3 స్థిరంగా ముందుగా లోడ్ చేయండి
ప్రీ-లోడ్ స్థిరాంకం అక్యుమ్యులేటర్ ఆపరేండ్‌ను నియంత్రిస్తుంది మరియు అక్యుమ్యులేటర్ ఫీడ్‌బ్యాక్‌ను పూర్తి చేస్తుంది. చెల్లుబాటు అయ్యే LOADCONST_VALUE పరిధి 0 నుండి ఉంటుంది. స్థిరమైన విలువ 64Nకి సమానం, ఇక్కడ N = LOADCONST_VALUE. LOADCONST_VALUEని 2కి సెట్ చేసినప్పుడు, స్థిరమైన విలువ 64కి సమానంగా ఉంటుంది. ఈ ఫంక్షన్‌ను బయాస్డ్ రౌండింగ్‌గా ఉపయోగించవచ్చు.
కింది బొమ్మ ప్రీ-లోడ్ స్థిరమైన అమలును చూపుతుంది.
మూర్తి 19. ప్రీ-లోడ్ స్థిరాంకం

అక్యుమ్యులేటర్ అభిప్రాయం

స్థిరమైన

a0

Mult0

+/-

b0

a1

Mult1

+/b1

ఫలితం

accum_sload sload_accum

ఇతర గుణకం అమలుల కోసం క్రింది IP కోర్లను చూడండి: · ALTMULT_ACCUM · ALTMEMMULT · LPM_MULT
8.1.4 డబుల్ అక్యుమ్యులేటర్
డబుల్ అక్యుమ్యులేటర్ ఫీచర్ అక్యుమ్యులేటర్ ఫీడ్‌బ్యాక్ మార్గంలో అదనపు రిజిస్టర్‌ను జోడిస్తుంది. డబుల్ అక్యుమ్యులేటర్ రిజిస్టర్ అవుట్‌పుట్ రిజిస్టర్‌ను అనుసరిస్తుంది, ఇందులో క్లాక్, క్లాక్ ఎనేబుల్ మరియు aclr ఉంటాయి. అదనపు అక్యుమ్యులేటర్ రిజిస్టర్ ఒక-సైకిల్ ఆలస్యంతో రిటర్న్‌లను అందిస్తుంది. ఈ ఫీచర్ మీరు ఒకే వనరుల గణనతో రెండు అక్యుమ్యులేటర్ ఛానెల్‌లను కలిగి ఉండేలా చేస్తుంది.
కింది బొమ్మ డబుల్ అక్యుమ్యులేటర్ అమలును చూపుతుంది.

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 43

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

మూర్తి 20. డబుల్ అక్యుమ్యులేటర్

డబుల్ అక్యు మ్యులేటర్ రిజిస్టర్

అక్యు మ్యులేటర్ ఫీడ్‌బా ck

a0

Mult0

+/-

b0

a1

Mult1

+/b1

అవుట్‌పుట్ ఫలితం అవుట్‌పుట్ రిజిస్టర్

8.2 వెరిలాగ్ HDL ప్రోటోటైప్
మీరు Intel FPGA మల్టిప్లై యాడర్ లేదా ALTERA_MULT_ADD వెరిలాగ్ HDL ప్రోటోటైప్‌ను కనుగొనవచ్చు file (altera_mult_add_rtl.v) లో లైబ్రరీస్మెగాఫంక్షన్స్ డైరెక్టరీ.
8.3 VHDL కాంపోనెంట్ డిక్లరేషన్
VHDL కాంపోనెంట్ డిక్లరేషన్ altera_lnsim_components.vhdలో ఉంది librariesvhdl altera_lnsim డైరెక్టరీ.
8.4 VHDL LIBRARY_USE డిక్లరేషన్
మీరు VHDL కాంపోనెంట్ డిక్లరేషన్‌ని ఉపయోగిస్తే VHDL లైబ్రరీ-యూజ్ డిక్లరేషన్ అవసరం లేదు.
లైబ్రరీ ఆల్టెరా_ఎమ్ఎఫ్; altera_mf.altera_mf_components.allని ఉపయోగించండి;

8.5 సంకేతాలు

కింది పట్టికలు మల్టిప్లై యాడర్ ఇంటెల్ FPGA IPor ALTERA_MULT_ADD IP కోర్ యొక్క ఇన్‌పుట్ మరియు అవుట్‌పుట్ సిగ్నల్‌లను జాబితా చేస్తాయి.

పట్టిక 28. యాడర్ ఇంటెల్ FPGA IP లేదా ALTERA_MULT_ADD ఇన్‌పుట్ సిగ్నల్‌లను గుణించండి

సిగ్నల్

అవసరం

వివరణ

dataa_0[]/dataa_1[]/

అవును

dataa_2[]/dataa_3[]

గుణకానికి డేటా ఇన్‌పుట్. ఇన్‌పుట్ పోర్ట్ [NUMBER_OF_MULTIPLIERS * WIDTH_A – 1 … 0] వెడల్పు
కొనసాగింది…

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 44

అభిప్రాయాన్ని పంపండి

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

సిగ్నల్ datab_0[]/datab_1[]/ datab_2[]/datab_3[] datac_0[] /datac_1[]/ datac_2[]/datac_3[] గడియారం[1:0] aclr[1:0] sclr[1:0] ena [1:0] సంకేతం
సంకేతం
స్కానినా[] అక్యుమ్_స్లోడ్

అవసరం అవును కాదు
కాదు కాదు కాదు కాదు
నం
కాదు కాదు

వివరణ
ఈ IP కోసం అనుకరణ నమూనా ఈ సంకేతాలకు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ సంకేతాలకు X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
గుణకానికి డేటా ఇన్‌పుట్. ఇన్‌పుట్ సిగ్నల్ [NUMBER_OF_MULTIPLIERS * WIDTH_B – 1 … 0] వెడల్పు ఈ IP కోసం అనుకరణ నమూనా ఈ సిగ్నల్‌లకు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ సంకేతాలకు X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
గుణకానికి డేటా ఇన్‌పుట్. ఇన్‌పుట్ సిగ్నల్ [NUMBER_OF_MULTIPLIERS * WIDTH_C – 1, … 0] వెడల్పు ఈ సిగ్నల్‌లను ఎనేబుల్ చేయడానికి సెలెక్ట్ ప్రీడర్ మోడ్ పారామీటర్ కోసం INPUTని ఎంచుకోండి. ఈ IP కోసం అనుకరణ నమూనా ఈ సంకేతాలకు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ సంకేతాలకు X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
సంబంధిత రిజిస్టర్‌కి క్లాక్ ఇన్‌పుట్ పోర్ట్. IP కోర్‌లోని ఏదైనా రిజిస్టర్ ద్వారా ఈ సిగ్నల్‌ను ఉపయోగించవచ్చు. ఈ IP కోసం అనుకరణ నమూనా ఈ సంకేతాలకు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ సంకేతాలకు X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
సంబంధిత రిజిస్టర్‌కు అసమకాలిక స్పష్టమైన ఇన్‌పుట్. ఈ IP కోసం అనుకరణ నమూనా ఈ సంకేతాలకు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ సంకేతాలకు X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
సంబంధిత రిజిస్టర్‌కు సింక్రోనస్ క్లియర్ ఇన్‌పుట్. ఈ IP కోసం అనుకరణ నమూనా ఈ సిగ్నల్‌లకు నిర్ణయించబడని ఇన్‌పుట్ విలువ Xకి మద్దతు ఇస్తుంది. మీరు ఈ సంకేతాలకు X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది
సంబంధిత రిజిస్టర్‌కి సిగ్నల్ ఇన్‌పుట్‌ని ప్రారంభించండి. ఈ IP కోసం అనుకరణ నమూనా ఈ సంకేతాలకు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ సంకేతాలకు X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
గుణకం ఇన్‌పుట్ A యొక్క సంఖ్యా ప్రాతినిధ్యాన్ని నిర్దేశిస్తుంది. సిగ్నా సిగ్నల్ ఎక్కువగా ఉంటే, గుణకం గుణకం ఇన్‌పుట్ A సిగ్నల్‌ను సంతకం చేసిన సంఖ్యగా పరిగణిస్తుంది. సిగ్నల్ సిగ్నల్ తక్కువగా ఉంటే, గుణకం గుణకం ఇన్‌పుట్ A సిగ్నల్‌ను సంతకం చేయని సంఖ్యగా పరిగణిస్తుంది. ఈ సిగ్నల్‌ని ఎనేబుల్ చేయడానికి మల్టిప్లైయర్స్ A ఇన్‌పుట్‌ల పరామితి కోసం ప్రాతినిధ్య ఆకృతి ఏమిటి అనే దాని కోసం వేరియబుల్ ఎంచుకోండి. ఈ IP కోసం అనుకరణ నమూనా ఈ సిగ్నల్‌కు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ ఇన్‌పుట్‌కి X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
గుణకం ఇన్‌పుట్ B సిగ్నల్ యొక్క సంఖ్యా ప్రాతినిధ్యాన్ని నిర్దేశిస్తుంది. సైన్‌బ్ సిగ్నల్ ఎక్కువగా ఉంటే, గుణకం గుణకం ఇన్‌పుట్ B సిగ్నల్‌ను సంతకం చేసిన రెండు పూరక సంఖ్యగా పరిగణిస్తుంది. signb సిగ్నల్ తక్కువగా ఉంటే, గుణకం గుణకం ఇన్‌పుట్ B సిగ్నల్‌ను సంతకం చేయని సంఖ్యగా పరిగణిస్తుంది. ఈ IP కోసం అనుకరణ నమూనా ఈ సిగ్నల్‌కు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ ఇన్‌పుట్‌కి X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
స్కాన్ చైన్ కోసం ఇన్‌పుట్ A. ఇన్‌పుట్ సిగ్నల్ [WIDTH_A – 1, … 0] వెడల్పు. INPUT_SOURCE_A పరామితి SCANA విలువను కలిగి ఉన్నప్పుడు, స్కానినా[] సిగ్నల్ అవసరం.
అక్యుమ్యులేటర్ విలువ స్థిరంగా ఉందో లేదో డైనమిక్‌గా పేర్కొంటుంది. అక్యుమ్_స్లోడ్ సిగ్నల్ తక్కువగా ఉంటే, గుణకం అవుట్‌పుట్ అక్యుమ్యులేటర్‌లోకి లోడ్ అవుతుంది. accum_sload మరియు sload_accumని ఏకకాలంలో ఉపయోగించవద్దు.
కొనసాగింది…

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 45

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

సిగ్నల్ sload_accum
చైనిన్[] addnsub1
addnsub3
coefsel0[] coefsel1[] coefsel2[] coefsel3[]

అవసరమైన సంఖ్య
కాదు కాదు
నం
వద్దు వద్దు

వివరణ
ఈ IP కోసం అనుకరణ నమూనా ఈ సిగ్నల్‌కు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ ఇన్‌పుట్‌కి X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
అక్యుమ్యులేటర్ విలువ స్థిరంగా ఉందో లేదో డైనమిక్‌గా పేర్కొంటుంది. sload_accum సిగ్నల్ ఎక్కువగా ఉంటే, గుణకం అవుట్‌పుట్ అక్యుమ్యులేటర్‌లోకి లోడ్ చేయబడుతుంది. accum_sload మరియు sload_accumని ఏకకాలంలో ఉపయోగించవద్దు. ఈ IP కోసం అనుకరణ నమూనా ఈ సిగ్నల్‌కు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ ఇన్‌పుట్‌కి X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
మునుపటి s నుండి యాడర్ ఫలితం ఇన్‌పుట్ బస్tagఇ. ఇన్‌పుట్ సిగ్నల్ [WIDTH_CHAININ – 1, … 0] వెడల్పు.
మొదటి జత మల్టిప్లైయర్‌ల నుండి అవుట్‌పుట్‌లకు అదనంగా లేదా వ్యవకలనాన్ని అమలు చేయండి. మొదటి జత మల్టిప్లైయర్‌ల నుండి అవుట్‌పుట్‌లను జోడించడానికి addnsub1 సిగ్నల్‌కు 1 ఇన్‌పుట్ చేయండి. మొదటి జత మల్టిప్లైయర్‌ల నుండి అవుట్‌పుట్‌లను తీసివేయడానికి addnsub0 సిగ్నల్‌కు 1 ఇన్‌పుట్ చేయండి. ఈ IP కోసం అనుకరణ నమూనా ఈ సిగ్నల్‌కు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ ఇన్‌పుట్‌కి X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
మొదటి జత మల్టిప్లైయర్‌ల నుండి అవుట్‌పుట్‌లకు అదనంగా లేదా వ్యవకలనాన్ని అమలు చేయండి. రెండవ జత మల్టిప్లైయర్‌ల నుండి అవుట్‌పుట్‌లను జోడించడానికి addnsub1 సిగ్నల్‌కు 3 ఇన్‌పుట్ చేయండి. మొదటి జత మల్టిప్లైయర్‌ల నుండి అవుట్‌పుట్‌లను తీసివేయడానికి addnsub0 సిగ్నల్‌కు 3 ఇన్‌పుట్ చేయండి. ఈ IP కోసం అనుకరణ నమూనా ఈ సిగ్నల్‌కు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ ఇన్‌పుట్‌కి X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
మొదటి గుణకానికి గుణకం ఇన్‌పుట్ సిగ్నల్[0:3]. ఈ IP కోసం అనుకరణ నమూనా ఈ సిగ్నల్‌కు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ ఇన్‌పుట్‌కి X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
రెండవ గుణకానికి గుణకం ఇన్‌పుట్ సిగ్నల్[0:3]. ఈ IP కోసం అనుకరణ నమూనా ఈ సిగ్నల్‌కు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ ఇన్‌పుట్‌కి X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
మూడవ గుణకానికి గుణకం ఇన్‌పుట్ సిగ్నల్[0:3]. ఈ IP కోసం అనుకరణ నమూనా ఈ సిగ్నల్‌కు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ ఇన్‌పుట్‌కి X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.
నాల్గవ గుణకానికి గుణకం ఇన్‌పుట్ సిగ్నల్ [0:3]. ఈ IP కోసం అనుకరణ నమూనా ఈ సిగ్నల్‌కు నిర్ణయించబడని ఇన్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు ఈ ఇన్‌పుట్‌కి X విలువను అందించినప్పుడు, X విలువ అవుట్‌పుట్ సిగ్నల్‌లపై ప్రచారం చేయబడుతుంది.

పట్టిక 29. యాడర్ ఇంటెల్ FPGA IP అవుట్‌పుట్ సిగ్నల్‌లను గుణించండి

సిగ్నల్

అవసరం

వివరణ

ఫలితం []

అవును

మల్టిప్లైయర్ అవుట్‌పుట్ సిగ్నల్. అవుట్‌పుట్ సిగ్నల్ [WIDTH_RESULT – 1 … 0] వెడల్పు

ఈ IP కోసం అనుకరణ నమూనా నిర్ణయించబడని అవుట్‌పుట్ విలువ (X)కి మద్దతు ఇస్తుంది. మీరు X విలువను ఇన్‌పుట్‌గా అందించినప్పుడు, X విలువ ఈ సిగ్నల్‌పై ప్రచారం చేయబడుతుంది.

స్కానౌటా []

నం

స్కాన్ చైన్ అవుట్‌పుట్ A. అవుట్‌పుట్ సిగ్నల్ [WIDTH_A – 1..0] వెడల్పు.

మల్టిప్లైయర్‌ల సంఖ్యల కోసం 2 కంటే ఎక్కువ ఎంచుకోండి మరియు ఈ సిగ్నల్‌ని ఎనేబుల్ చేయడానికి పారామీటర్‌కి కనెక్ట్ చేయబడిన గుణకం యొక్క ఇన్‌పుట్ A ఏమిటి అనే దాని కోసం స్కాన్ చైన్ ఇన్‌పుట్‌ని ఎంచుకోండి.

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 46

అభిప్రాయాన్ని పంపండి

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

8.6. పారామితులు

8.6.1 సాధారణ ట్యాబ్

టేబుల్ 30. సాధారణ ట్యాబ్

పరామితి

IP రూపొందించిన పరామితి

విలువ

గుణకాల సంఖ్య ఎంత?

number_of_m 1 – 4 అల్టిప్లయర్‌లు

A width_a ఇన్‌పుట్ బస్సులు ఎంత వెడల్పుగా ఉండాలి?

1 – 256

B వెడల్పు_b ఇన్‌పుట్ బస్సులు ఎంత వెడల్పుగా ఉండాలి?

1 – 256

'ఫలితం' అవుట్‌పుట్ బస్సు ఎంత వెడల్పుగా ఉండాలి?

వెడల్పు_ఫలితం

1 – 256

ప్రతి గడియారానికి అనుబంధిత గడియారాన్ని ఎనేబుల్ చేయండి

gui_associate ఆన్ d_clock_enabl ఆఫ్ ఇ

8.6.2 అదనపు మోడ్‌ల ట్యాబ్

టేబుల్ 31. అదనపు మోడ్‌ల ట్యాబ్

పరామితి

IP రూపొందించిన పరామితి

విలువ

అవుట్‌పుట్‌ల కాన్ఫిగరేషన్

యాడర్ యూనిట్ అవుట్‌పుట్‌ను నమోదు చేయండి

gui_output_re ఆన్

గిస్టర్

ఆఫ్

క్లాక్ ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_output_re gister_clock

గడియారం 0 గడియారం 1 గడియారం 2

అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_output_re gister_aclr

ఏదీ కాదు ACLR0 ACLR1

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_output_re gister_sclr

SCLR0 SCLR1 కాదు

యాడర్ ఆపరేషన్

మొదటి జత మల్టిప్లైయర్‌ల అవుట్‌పుట్‌లపై ఏ ఆపరేషన్ చేయాలి?

gui_multiplier 1_direction

జోడించు, ఉప, వేరియబుల్

డిఫాల్ట్ విలువ 1
16

వివరణ
కలిసి జోడించాల్సిన మల్టిప్లైయర్‌ల సంఖ్య. విలువలు 1 నుండి 4 వరకు ఉంటాయి. డేటా[] పోర్ట్ వెడల్పును పేర్కొనండి.

16

డేటాబ్[] పోర్ట్ వెడల్పును పేర్కొనండి.

32

ఫలితం[] పోర్ట్ వెడల్పును పేర్కొనండి.

ఆఫ్

గడియారం ఎనేబుల్‌ని సృష్టించడానికి ఈ ఎంపికను ఎంచుకోండి

ప్రతి గడియారం కోసం.

డిఫాల్ట్ విలువ

వివరణ

ఆఫ్ క్లాక్ 0
ఏదీ లేదు

యాడర్ మాడ్యూల్ యొక్క అవుట్‌పుట్ రిజిస్టర్‌ను ప్రారంభించడానికి ఈ ఎంపికను ఎంచుకోండి.
అవుట్‌పుట్ రిజిస్టర్‌ల కోసం క్లాక్ సోర్స్‌ను ఎనేబుల్ చేయడానికి మరియు పేర్కొనడానికి Clock0 , Clock1 లేదా Clock2ని ఎంచుకోండి. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా యాడర్ యూనిట్ యొక్క రిజిస్టర్ అవుట్‌పుట్‌ని ఎంచుకోవాలి.
యాడర్ అవుట్‌పుట్ రిజిస్టర్ కోసం అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా యాడర్ యూనిట్ యొక్క రిజిస్టర్ అవుట్‌పుట్‌ని ఎంచుకోవాలి.
యాడర్ అవుట్‌పుట్ రిజిస్టర్ కోసం సింక్రోనస్ క్లియర్ సోర్స్‌ను పేర్కొంటుంది. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా యాడర్ యూనిట్ యొక్క రిజిస్టర్ అవుట్‌పుట్‌ని ఎంచుకోవాలి.

జోడించు

మొదటి మరియు రెండవ మల్టిప్లైయర్‌ల మధ్య అవుట్‌పుట్‌ల కోసం అదనంగా లేదా తీసివేత ఆపరేషన్‌ను ఎంచుకోండి.
· అదనంగా ఆపరేషన్ చేయడానికి ADDని ఎంచుకోండి.
· తీసివేత ఆపరేషన్ చేయడానికి SUBని ఎంచుకోండి.
· డైనమిక్ జోడింపు/వ్యవకలన నియంత్రణ కోసం addnsub1 పోర్ట్‌ని ఉపయోగించడానికి వేరియబుల్‌ని ఎంచుకోండి.
కొనసాగింది…

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 47

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

పరామితి

IP రూపొందించిన పరామితి

విలువ

'addnsub1' ఇన్‌పుట్‌ను నమోదు చేయండి

gui_addnsub_ on multiplier_reg ఆఫ్ ister1

క్లాక్ ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_addnsub_ multiplier_reg ister1_clock

గడియారం 0 గడియారం 1 గడియారం 2

అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_addnsub_ multiplier_aclr 1

ఏదీ కాదు ACLR0 ACLR1

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_addnsub_ multiplier_sclr 1

SCLR0 SCLR1 కాదు

రెండవ జత మల్టిప్లైయర్‌ల అవుట్‌పుట్‌లపై ఏ ఆపరేషన్ చేయాలి?

gui_multiplier 3_direction

జోడించు, ఉప, వేరియబుల్

'addnsub3' ఇన్‌పుట్‌ను నమోదు చేయండి

gui_addnsub_ on multiplier_reg ఆఫ్ ister3

క్లాక్ ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_addnsub_ multiplier_reg ister3_clock

గడియారం 0 గడియారం 1 గడియారం 2

డిఫాల్ట్ విలువ
ఆఫ్ క్లాక్0 కాదు ఏవీ జోడించవద్దు
ఆఫ్ క్లాక్ 0

వివరణ
VARIABLE విలువను ఎంచుకున్నప్పుడు: · దీని కోసం addnsub1 సిగ్నల్‌ను అధిక స్థాయికి డ్రైవ్ చేయండి
అదనంగా ఆపరేషన్. · addnsub1 సిగ్నల్‌ని తక్కువకు డ్రైవ్ చేయండి
తీసివేత ఆపరేషన్. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా రెండు కంటే ఎక్కువ మల్టిప్లైయర్‌లను ఎంచుకోవాలి.
addnsub1 పోర్ట్ కోసం ఇన్‌పుట్ రిజిస్టర్‌ను ప్రారంభించడానికి ఈ ఎంపికను ఎంచుకోండి. ఈ పరామితిని ఎనేబుల్ చేయడానికి మొదటి జత మల్టిప్లైయర్‌ల అవుట్‌పుట్‌లపై ఏ ఆపరేషన్ నిర్వహించాలి అనే దాని కోసం మీరు తప్పనిసరిగా వేరియబుల్ ఎంచుకోవాలి.
addnsub0 రిజిస్టర్ కోసం ఇన్‌పుట్ క్లాక్ సిగ్నల్‌ను పేర్కొనడానికి Clock1 , Clock2 లేదా Clock1ని ఎంచుకోండి. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా నమోదు 'addnsub1' ఇన్‌పుట్‌ని ఎంచుకోవాలి.
addnsub1 రిజిస్టర్ కోసం అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా నమోదు 'addnsub1' ఇన్‌పుట్‌ని ఎంచుకోవాలి.
addnsub1 రిజిస్టర్ కోసం సింక్రోనస్ క్లియర్ సోర్స్‌ని పేర్కొంటుంది. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా నమోదు 'addnsub1' ఇన్‌పుట్‌ని ఎంచుకోవాలి.
మూడవ మరియు నాల్గవ మల్టిప్లైయర్‌ల మధ్య అవుట్‌పుట్‌ల కోసం అదనంగా లేదా తీసివేత ఆపరేషన్‌ను ఎంచుకోండి. · అదనంగా చేయడానికి ADDని ఎంచుకోండి
ఆపరేషన్. · వ్యవకలనం చేయడానికి SUBని ఎంచుకోండి
ఆపరేషన్. · addnsub1ని ఉపయోగించడానికి వేరియబుల్ ఎంచుకోండి
డైనమిక్ సంకలనం/వ్యవకలన నియంత్రణ కోసం పోర్ట్. వేరియబుల్ విలువను ఎంచుకున్నప్పుడు: · జోడింపు ఆపరేషన్ కోసం addnsub1 సిగ్నల్‌ని హైకి డ్రైవ్ చేయండి. · వ్యవకలనం ఆపరేషన్ కోసం addnsub1 సిగ్నల్‌ను తక్కువకు డ్రైవ్ చేయండి. మల్టిప్లైయర్‌ల సంఖ్య ఎంత అనే దాని కోసం మీరు తప్పనిసరిగా 4 విలువను ఎంచుకోవాలి. ఈ పరామితిని ప్రారంభించడానికి.
addnsub3 సిగ్నల్ కోసం ఇన్‌పుట్ రిజిస్టర్‌ను ప్రారంభించడానికి ఈ ఎంపికను ఎంచుకోండి. ఈ పరామితిని ఎనేబుల్ చేయడానికి రెండవ జత మల్టిప్లైయర్‌ల అవుట్‌పుట్‌లపై ఏ ఆపరేషన్ నిర్వహించాలి అనే దాని కోసం మీరు తప్పనిసరిగా వేరియబుల్ ఎంచుకోవాలి.
addnsub0 రిజిస్టర్ కోసం ఇన్‌పుట్ క్లాక్ సిగ్నల్‌ను పేర్కొనడానికి Clock1 , Clock2 లేదా Clock3ని ఎంచుకోండి. ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు తప్పనిసరిగా నమోదు 'addnsub3' ఇన్‌పుట్‌ని ఎంచుకోవాలి.
కొనసాగింది…

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 48

అభిప్రాయాన్ని పంపండి

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

పరామితి
అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

IP రూపొందించిన పరామితి

విలువ

gui_addnsub_ multiplier_aclr 3

ఏదీ కాదు ACLR0 ACLR1

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_addnsub_ multiplier_sclr 3

SCLR0 SCLR1 కాదు

ధ్రువణత `use_subadd'ని ప్రారంభించు

gui_use_subn ఆన్

జోడించు

ఆఫ్

8.6.3 గుణకాల ట్యాబ్

టేబుల్ 32. మల్టిప్లైయర్స్ ట్యాబ్

పరామితి

IP రూపొందించిన పరామితి

విలువ

ఏమిటి

గుయ్_ప్రతినిధి

ప్రాతినిధ్య ఆకృతి ation_a

మల్టిప్లైయర్స్ A ఇన్‌పుట్‌ల కోసం?

సంతకం, సంతకం చేయని, వేరియబుల్

`సిగ్నా' ఇన్‌పుట్‌ను నమోదు చేయండి

gui_register_s ఆన్

ఇగ్నా

ఆఫ్

క్లాక్ ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_register_s igna_clock

గడియారం 0 గడియారం 1 గడియారం 2

అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_register_s igna_aclr

ఏదీ కాదు ACLR0 ACLR1

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_register_s igna_sclr

SCLR0 SCLR1 కాదు

ఏమిటి

గుయ్_ప్రతినిధి

ప్రాతినిధ్య ఆకృతి ation_b

మల్టిప్లైయర్స్ B ఇన్‌పుట్‌ల కోసం?

సంతకం, సంతకం చేయని, వేరియబుల్

`signb' ఇన్‌పుట్‌ను నమోదు చేయండి

gui_register_s ఆన్

ignb

ఆఫ్

డిఫాల్ట్ విలువ NONE
కాదు

వివరణ
addnsub3 రిజిస్టర్ కోసం అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా నమోదు 'addnsub3' ఇన్‌పుట్‌ని ఎంచుకోవాలి.
addnsub3 రిజిస్టర్ కోసం సింక్రోనస్ క్లియర్ సోర్స్‌ని పేర్కొంటుంది. ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు తప్పనిసరిగా నమోదు 'addnsub3' ఇన్‌పుట్‌ని ఎంచుకోవాలి.

ఆఫ్

ఫంక్షన్‌ను రివర్స్ చేయడానికి ఈ ఎంపికను ఎంచుకోండి

addnsub ఇన్‌పుట్ పోర్ట్.

తీసివేత ఆపరేషన్ కోసం addnsubని హైకి డ్రైవ్ చేయండి.

జోడింపు ఆపరేషన్ కోసం addnsubని తక్కువకు డ్రైవ్ చేయండి.

డిఫాల్ట్ విలువ

వివరణ

అన్‌సైన్డ్ గుణకం A ఇన్‌పుట్ కోసం ప్రాతినిధ్య ఆకృతిని పేర్కొనండి.

ఆఫ్

సిగ్నాను ప్రారంభించడానికి ఈ ఎంపికను ఎంచుకోండి

నమోదు.

మల్టిప్లయర్స్ A ఇన్‌పుట్‌ల కోసం ప్రాతినిధ్య ఆకృతి అంటే ఏమిటి? ఈ ఎంపికను ఎనేబుల్ చేయడానికి పరామితి.

క్లాక్ -0

సిగ్నా రిజిస్టర్ కోసం ఇన్‌పుట్ క్లాక్ సిగ్నల్‌ను ప్రారంభించడానికి మరియు పేర్కొనడానికి Clock0 , Clock1 లేదా Clock2ని ఎంచుకోండి.
ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా రిజిస్టర్ `సిగ్నా' ఇన్‌పుట్‌ని ఎంచుకోవాలి.

కాదు

సిగ్నా రిజిస్టర్ కోసం అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది.
ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా రిజిస్టర్ `సిగ్నా' ఇన్‌పుట్‌ని ఎంచుకోవాలి.

కాదు

సిగ్నా రిజిస్టర్ కోసం సింక్రోనస్ క్లియర్ సోర్స్‌ను పేర్కొంటుంది.
ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా రిజిస్టర్ `సిగ్నా' ఇన్‌పుట్‌ని ఎంచుకోవాలి.

అన్‌సైన్డ్ గుణకం B ఇన్‌పుట్ కోసం ప్రాతినిధ్య ఆకృతిని పేర్కొనండి.

ఆఫ్

సైన్‌బిని ఎనేబుల్ చేయడానికి ఈ ఎంపికను ఎంచుకోండి

నమోదు.

కొనసాగింది…

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 49

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

పరామితి

IP రూపొందించిన పరామితి

విలువ

డిఫాల్ట్ విలువ

క్లాక్ ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_register_s ignb_clock

గడియారం 0 గడియారం 1 గడియారం 2

క్లాక్ -0

అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_register_s ignb_aclr

ఏదీ కాదు ACLR0 ACLR1

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_register_s ignb_sclr

SCLR0 SCLR1 కాదు

ఇన్‌పుట్ కాన్ఫిగరేషన్
గుణకం యొక్క ఇన్‌పుట్ Aని నమోదు చేయండి
క్లాక్ ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_input_reg ఆన్

ister_a

ఆఫ్

gui_input_reg ister_a_clock

గడియారం 0 గడియారం 1 గడియారం 2

ఏదీ లేదు
ఆఫ్ క్లాక్ 0

అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_input_reg ister_a_aclr

ఏదీ కాదు ACLR0 ACLR1

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_input_reg ister_a_sclr

SCLR0 SCLR1 కాదు

గుణకం యొక్క ఇన్‌పుట్ Bని నమోదు చేయండి
క్లాక్ ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_input_reg ఆన్

ister_b

ఆఫ్

gui_input_reg ister_b_clock

గడియారం 0 గడియారం 1 గడియారం 2

కాదు కాదు ఆఫ్ క్లాక్0

అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_input_reg ister_b_aclr

ఏదీ కాదు ACLR0 ACLR1

కాదు

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_input_reg ister_b_sclr

SCLR0 SCLR1 కాదు

కాదు

గుణకం యొక్క ఇన్‌పుట్ A దేనికి కనెక్ట్ చేయబడింది?

gui_multiplier గుణకం ఇన్‌పుట్ గుణకం

_a_ఇన్‌పుట్

చైన్ ఇన్‌పుట్ ఇన్‌పుట్‌ని స్కాన్ చేయండి

వివరణ
మల్టిప్లైయర్స్ B ఇన్‌పుట్‌ల కోసం ప్రాతినిధ్య ఆకృతి ఏమిటి? ఈ ఎంపికను ఎనేబుల్ చేయడానికి పరామితి.
సైన్బ్ రిజిస్టర్ కోసం ఇన్‌పుట్ క్లాక్ సిగ్నల్‌ను ఎనేబుల్ చేయడానికి మరియు పేర్కొనడానికి Clock0 , Clock1 లేదా Clock2ని ఎంచుకోండి. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా నమోదు `సైన్‌బి' ఇన్‌పుట్‌ని ఎంచుకోవాలి.
signb రిజిస్టర్ కోసం అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా నమోదు `సైన్‌బి' ఇన్‌పుట్‌ని ఎంచుకోవాలి.
signb రిజిస్టర్ కోసం సింక్రోనస్ క్లియర్ సోర్స్‌ను పేర్కొంటుంది. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా నమోదు `సైన్‌బి' ఇన్‌పుట్‌ని ఎంచుకోవాలి.
డేటా ఇన్‌పుట్ బస్ కోసం ఇన్‌పుట్ రిజిస్టర్‌ను ప్రారంభించడానికి ఈ ఎంపికను ఎంచుకోండి.
డేటా ఇన్‌పుట్ బస్ కోసం రిజిస్టర్ ఇన్‌పుట్ క్లాక్ సిగ్నల్‌ను ఎనేబుల్ చేయడానికి మరియు పేర్కొనడానికి Clock0 , Clock1 లేదా Clock2ని ఎంచుకోండి. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా గుణకం యొక్క నమోదు ఇన్‌పుట్ Aని ఎంచుకోవాలి.
డేటా ఇన్‌పుట్ బస్ కోసం రిజిస్టర్ అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా గుణకం యొక్క నమోదు ఇన్‌పుట్ Aని ఎంచుకోవాలి.
డేటా ఇన్‌పుట్ బస్ కోసం రిజిస్టర్ సింక్రోనస్ క్లియర్ సోర్స్‌ని పేర్కొంటుంది. ఈ పరామితిని ప్రారంభించడానికి మీరు తప్పనిసరిగా గుణకం యొక్క నమోదు ఇన్‌పుట్ Aని ఎంచుకోవాలి.
డేటాబ్ ఇన్‌పుట్ బస్ కోసం ఇన్‌పుట్ రిజిస్టర్‌ను ప్రారంభించడానికి ఈ ఎంపికను ఎంచుకోండి.
డేటాబ్ ఇన్‌పుట్ బస్ కోసం రిజిస్టర్ ఇన్‌పుట్ క్లాక్ సిగ్నల్‌ను ఎనేబుల్ చేయడానికి మరియు పేర్కొనడానికి Clock0 , Clock1 లేదా Clock2ని ఎంచుకోండి. ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు తప్పనిసరిగా గుణకం యొక్క రిజిస్టర్ ఇన్‌పుట్ Bని ఎంచుకోవాలి.
డేటాబ్ ఇన్‌పుట్ బస్ కోసం రిజిస్టర్ అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది. ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు తప్పనిసరిగా గుణకం యొక్క రిజిస్టర్ ఇన్‌పుట్ Bని ఎంచుకోవాలి.
డేటాబ్ ఇన్‌పుట్ బస్ కోసం రిజిస్టర్ సింక్రోనస్ క్లియర్ సోర్స్‌ని పేర్కొంటుంది. ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు తప్పనిసరిగా గుణకం యొక్క రిజిస్టర్ ఇన్‌పుట్ Bని ఎంచుకోవాలి.
గుణకం యొక్క ఇన్‌పుట్ A కోసం ఇన్‌పుట్ మూలాన్ని ఎంచుకోండి.
కొనసాగింది…

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 50

అభిప్రాయాన్ని పంపండి

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

పరామితి

IP రూపొందించిన పరామితి

విలువ

స్కానౌట్ ఎ రిజిస్టర్ కాన్ఫిగరేషన్

స్కాన్ చైన్ అవుట్‌పుట్‌ను నమోదు చేయండి

gui_scanouta ఆన్

_రిజిస్టర్

ఆఫ్

క్లాక్ ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_scanouta _register_cloc k

గడియారం 0 గడియారం 1 గడియారం 2

అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_scanouta _register_aclr

ఏదీ కాదు ACLR0 ACLR1

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_scanouta _register_sclr

SCLR0 SCLR1 కాదు

8.6.4 ప్రీడర్ ట్యాబ్

టేబుల్ 33. ప్రీడర్ ట్యాబ్

పరామితి

IP రూపొందించిన పరామితి

విలువ

ప్రీడర్ మోడ్‌ని ఎంచుకోండి

preadder_mo de

సాధారణ, COEF, ఇన్‌పుట్, స్క్వేర్, స్థిరమైనది

డిఫాల్ట్ విలువ

వివరణ
గుణకం మూలంగా డేటా ఇన్‌పుట్ బస్‌ని ఉపయోగించడానికి మల్టిప్లైయర్ ఇన్‌పుట్‌ని ఎంచుకోండి. గుణకారానికి మూలంగా స్కానిన్ ఇన్‌పుట్ బస్‌ను ఉపయోగించడానికి స్కాన్ చైన్ ఇన్‌పుట్‌ని ఎంచుకోండి మరియు స్కానౌట్ అవుట్‌పుట్ బస్‌ను ప్రారంభించండి. మల్టిప్లైయర్‌ల సంఖ్య ఎంత అనే దాని కోసం మీరు 2, 3 లేదా 4ని ఎంచుకున్నప్పుడు ఈ పరామితి అందుబాటులో ఉంటుంది. పరామితి.

ఆఫ్ క్లాక్0 కాదు కాదు

స్కానౌటా అవుట్‌పుట్ బస్ కోసం అవుట్‌పుట్ రిజిస్టర్‌ను ప్రారంభించడానికి ఈ ఎంపికను ఎంచుకోండి.
మీరు తప్పనిసరిగా స్కాన్ చైన్ ఇన్‌పుట్‌ని ఎంచుకోవాలి, గుణకం యొక్క ఇన్‌పుట్ A దేనికి కనెక్ట్ చేయబడింది? ఈ ఎంపికను ఎనేబుల్ చేయడానికి పరామితి.
స్కానౌటా అవుట్‌పుట్ బస్ కోసం రిజిస్టర్ ఇన్‌పుట్ క్లాక్ సిగ్నల్‌ను ఎనేబుల్ చేయడానికి మరియు పేర్కొనడానికి Clock0 , Clock1 లేదా Clock2ని ఎంచుకోండి.
ఈ ఎంపికను ప్రారంభించడానికి మీరు తప్పనిసరిగా స్కాన్ చైన్ పారామీటర్ యొక్క రిజిస్టర్ అవుట్‌పుట్‌ను ఆన్ చేయాలి.
స్కానౌటా అవుట్‌పుట్ బస్ కోసం రిజిస్టర్ అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది.
ఈ ఎంపికను ప్రారంభించడానికి మీరు తప్పనిసరిగా స్కాన్ చైన్ పారామీటర్ యొక్క రిజిస్టర్ అవుట్‌పుట్‌ను ఆన్ చేయాలి.
స్కానౌటా అవుట్‌పుట్ బస్ కోసం రిజిస్టర్ సింక్రోనస్ క్లియర్ సోర్స్‌ని పేర్కొంటుంది.
ఈ ఎంపికను ప్రారంభించడానికి మీరు తప్పనిసరిగా స్కాన్ చైన్ పారామీటర్ యొక్క రిజిస్టర్ అవుట్‌పుట్‌ని ఎంచుకోవాలి.

డిఫాల్ట్ విలువ
సింపుల్

వివరణ
ప్రీడర్ మాడ్యూల్ కోసం ఆపరేషన్ మోడ్‌ను పేర్కొంటుంది. సింపుల్: ఈ మోడ్ ప్రీడర్‌ను బైపాస్ చేస్తుంది. ఇది డిఫాల్ట్ మోడ్. COEF: ఈ మోడ్ ప్రీడర్ యొక్క అవుట్‌పుట్ మరియు కోఫ్సెల్ ఇన్‌పుట్ బస్‌ను గుణకారానికి ఇన్‌పుట్‌లుగా ఉపయోగిస్తుంది. ఇన్‌పుట్: ఈ మోడ్ ప్రీడర్ మరియు డేటాక్ ఇన్‌పుట్ బస్ యొక్క అవుట్‌పుట్‌ను గుణకం ఇన్‌పుట్‌లుగా ఉపయోగిస్తుంది. స్క్వేర్: ఈ మోడ్ ప్రీడర్ యొక్క అవుట్‌పుట్‌ను గుణకానికి రెండు ఇన్‌పుట్‌లుగా ఉపయోగిస్తుంది.
కొనసాగింది…

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 51

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

పరామితి

IP రూపొందించిన పరామితి

విలువ

ప్రీడర్ దిశను ఎంచుకోండి

gui_preadder ADD,

_దిశ

SUB

C వెడల్పు_c ఇన్‌పుట్ బస్సులు ఎంత వెడల్పుగా ఉండాలి?

1 – 256

డేటా సి ఇన్‌పుట్ రిజిస్టర్ కాన్ఫిగరేషన్

డేటాక్ ఇన్‌పుట్‌ను నమోదు చేయండి

gui_datac_inp ఆన్

ut_register

ఆఫ్

క్లాక్ ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_datac_inp ut_register_cl ock

గడియారం 0 గడియారం 1 గడియారం 2

అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_datac_inp ut_register_a clr

ఏదీ కాదు ACLR0 ACLR1

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_datac_inp ut_register_sc lr

SCLR0 SCLR1 కాదు

గుణకాలు
కోఫ్ వెడల్పు ఎంత వెడల్పుగా ఉండాలి?

వెడల్పు_కోఎఫ్

1 – 27

Coef రిజిస్టర్ కాన్ఫిగరేషన్

Coefsel ఇన్‌పుట్‌ను నమోదు చేయండి

gui_coef_regi ఆన్

స్టెర్

ఆఫ్

క్లాక్ ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_coef_regi ster_clock

గడియారం 0 గడియారం 1 గడియారం 2

డిఫాల్ట్ విలువ
జోడించు
16

వివరణ
స్థిరం: ఈ మోడ్ ప్రీడర్ బైపాస్‌తో కూడిన డేటా ఇన్‌పుట్ బస్‌ను మరియు గుణకారానికి ఇన్‌పుట్‌లుగా కోఎఫ్‌సెల్ ఇన్‌పుట్ బస్‌ని ఉపయోగిస్తుంది.
ప్రీడర్ యొక్క ఆపరేషన్‌ను నిర్దేశిస్తుంది. ఈ పరామితిని ఎనేబుల్ చేయడానికి, సెలెక్ట్ ప్రీడర్ మోడ్ కోసం కింది వాటిని ఎంచుకోండి: · COEF · INPUT · SQUARE లేదా · CONSTANT
C ఇన్‌పుట్ బస్ కోసం బిట్‌ల సంఖ్యను పేర్కొంటుంది. ఈ పరామితిని ఎనేబుల్ చెయ్యడానికి సెలెక్ట్ ప్రీడర్ మోడ్ కోసం మీరు తప్పనిసరిగా INPUTని ఎంచుకోవాలి.

క్లాక్ 0 కాదు కాదు కాదు

డేటాక్ ఇన్‌పుట్ బస్ కోసం ఇన్‌పుట్ రిజిస్టర్‌ను ప్రారంభించడానికి ఈ ఎంపికను ఎంచుకోండి. మీరు ఈ ఎంపికను ఎనేబుల్ చెయ్యడానికి ప్రీడర్ మోడ్ పారామీటర్‌ని ఎంచుకోవడానికి తప్పనిసరిగా INPUTని సెట్ చేయాలి.
డేటాక్ ఇన్‌పుట్ రిజిస్టర్ కోసం ఇన్‌పుట్ క్లాక్ సిగ్నల్‌ను పేర్కొనడానికి Clock0 , Clock1 లేదా Clock2ని ఎంచుకోండి. ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు రిజిస్టర్ డాటాక్ ఇన్‌పుట్‌ని తప్పక ఎంచుకోవాలి.
డేటాక్ ఇన్‌పుట్ రిజిస్టర్ కోసం అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది. ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు రిజిస్టర్ డాటాక్ ఇన్‌పుట్‌ని తప్పక ఎంచుకోవాలి.
డేటాక్ ఇన్‌పుట్ రిజిస్టర్ కోసం సింక్రోనస్ క్లియర్ సోర్స్‌ను పేర్కొంటుంది. ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు రిజిస్టర్ డాటాక్ ఇన్‌పుట్‌ని తప్పక ఎంచుకోవాలి.

18

కోసం బిట్‌ల సంఖ్యను నిర్దేశిస్తుంది

coefsel ఇన్‌పుట్ బస్సు.

మీరు ఈ పరామితిని ఎనేబుల్ చేయడానికి ప్రీడర్ మోడ్ కోసం తప్పనిసరిగా COEF లేదా CONSTANTని ఎంచుకోవాలి.

క్లాక్ 0లో

Coefsel ఇన్‌పుట్ బస్ కోసం ఇన్‌పుట్ రిజిస్టర్‌ను ప్రారంభించడానికి ఈ ఎంపికను ఎంచుకోండి. మీరు ఈ పరామితిని ఎనేబుల్ చేయడానికి ప్రీడర్ మోడ్ కోసం తప్పనిసరిగా COEF లేదా CONSTANTని ఎంచుకోవాలి.
Coefsel ఇన్‌పుట్ రిజిస్టర్ కోసం ఇన్‌పుట్ క్లాక్ సిగ్నల్‌ను పేర్కొనడానికి Clock0 , Clock1 లేదా Clock2ని ఎంచుకోండి. ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు తప్పనిసరిగా coefsel ఇన్‌పుట్‌ను నమోదు చేయి ఎంచుకోవాలి.
కొనసాగింది…

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 52

అభిప్రాయాన్ని పంపండి

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

పరామితి
అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

IP రూపొందించిన పరామితి

విలువ

gui_coef_regi ster_aclr

ఏదీ కాదు ACLR0 ACLR1

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి

gui_coef_regi ster_sclr

SCLR0 SCLR1 కాదు

గుణకం_0 కాన్ఫిగరేషన్

coef0_0 నుండి coef0_7

0x00000 0xFFFFFFF

గుణకం_1 కాన్ఫిగరేషన్

coef1_0 నుండి coef1_7

0x00000 0xFFFFFFF

గుణకం_2 కాన్ఫిగరేషన్

coef2_0 నుండి coef2_7

0x00000 0xFFFFFFF

గుణకం_3 కాన్ఫిగరేషన్

coef3_0 నుండి coef3_7

0x00000 0xFFFFFFF

8.6.5 అక్యుమ్యులేటర్ ట్యాబ్

టేబుల్ 34. అక్యుమ్యులేటర్ ట్యాబ్

పరామితి

IP రూపొందించిన పరామితి

విలువ

అక్యుమ్యులేటర్‌ని ప్రారంభించాలా?

సంచితం

అవును కాదు

అక్యుమ్యులేటర్ ఆపరేషన్ రకం ఏమిటి?

accum_directi ADD,

on

SUB

డిఫాల్ట్ విలువ NONE
కాదు
0x0000000 0
0x0000000 0
0x0000000 0
0x0000000 0

వివరణ
coefsel ఇన్‌పుట్ రిజిస్టర్ కోసం అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది. ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు తప్పనిసరిగా coefsel ఇన్‌పుట్‌ను నమోదు చేయి ఎంచుకోవాలి.
coefsel ఇన్‌పుట్ రిజిస్టర్ కోసం సింక్రోనస్ క్లియర్ సోర్స్‌ని పేర్కొంటుంది. ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు తప్పనిసరిగా coefsel ఇన్‌పుట్‌ను నమోదు చేయి ఎంచుకోవాలి.
ఈ మొదటి గుణకం కోసం గుణకం విలువలను పేర్కొంటుంది. బిట్‌ల సంఖ్య తప్పనిసరిగా కోఫ్ వెడల్పు ఎంత వెడల్పుగా ఉండాలి అనే దానిలో పేర్కొన్న విధంగానే ఉండాలి? పరామితి. మీరు ఈ పరామితిని ఎనేబుల్ చేయడానికి ప్రీడర్ మోడ్ కోసం తప్పనిసరిగా COEF లేదా CONSTANTని ఎంచుకోవాలి.
ఈ రెండవ గుణకం కోసం గుణకం విలువలను పేర్కొంటుంది. బిట్‌ల సంఖ్య తప్పనిసరిగా కోఫ్ వెడల్పు ఎంత వెడల్పుగా ఉండాలి అనే దానిలో పేర్కొన్న విధంగానే ఉండాలి? పరామితి. మీరు ఈ పరామితిని ఎనేబుల్ చేయడానికి ప్రీడర్ మోడ్ కోసం తప్పనిసరిగా COEF లేదా CONSTANTని ఎంచుకోవాలి.
ఈ మూడవ గుణకం కోసం గుణకం విలువలను పేర్కొంటుంది. బిట్‌ల సంఖ్య తప్పనిసరిగా కోఫ్ వెడల్పు ఎంత వెడల్పుగా ఉండాలి అనే దానిలో పేర్కొన్న విధంగానే ఉండాలి? పరామితి. మీరు ఈ పరామితిని ఎనేబుల్ చేయడానికి ప్రీడర్ మోడ్ కోసం తప్పనిసరిగా COEF లేదా CONSTANTని ఎంచుకోవాలి.
ఈ నాల్గవ గుణకం కోసం గుణకం విలువలను పేర్కొంటుంది. బిట్‌ల సంఖ్య తప్పనిసరిగా కోఫ్ వెడల్పు ఎంత వెడల్పుగా ఉండాలి అనే దానిలో పేర్కొన్న విధంగానే ఉండాలి? పరామితి. మీరు ఈ పరామితిని ఎనేబుల్ చేయడానికి ప్రీడర్ మోడ్ కోసం తప్పనిసరిగా COEF లేదా CONSTANTని ఎంచుకోవాలి.

డిఫాల్ట్ విలువ NO
జోడించు

వివరణ
అక్యుమ్యులేటర్‌ని ప్రారంభించడానికి అవును ఎంచుకోండి. అక్యుమ్యులేటర్ ఫీచర్‌ని ఉపయోగిస్తున్నప్పుడు మీరు తప్పనిసరిగా యాడర్ యూనిట్ యొక్క రిజిస్టర్ అవుట్‌పుట్‌ని ఎంచుకోవాలి.
అక్యుమ్యులేటర్ యొక్క ఆపరేషన్‌ను పేర్కొంటుంది: · అదనంగా ఆపరేషన్ కోసం జోడించండి · వ్యవకలన ఆపరేషన్ కోసం SUB. ఎనేబుల్ అక్యుమ్యులేటర్ కోసం మీరు తప్పక అవును ఎంచుకోవాలా? ఈ ఎంపికను ఎనేబుల్ చేయడానికి పరామితి.
కొనసాగింది…

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 53

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

పరామితి
ప్రీలోడ్ స్థిరాంకం ప్రీలోడ్ స్థిరాంకాన్ని ప్రారంభించండి

IP రూపొందించిన పరామితి

విలువ

gui_ena_prelo ఆన్

ad_const

ఆఫ్

అక్యుములేట్ పోర్ట్ యొక్క ఇన్‌పుట్ దేనికి కనెక్ట్ చేయబడింది?

gui_accumula ACCUM_SLOAD, te_port_select SLOAD_ACCUM

ప్రీలోడ్ loadconst_val 0 – 64 కోసం విలువను ఎంచుకోండి

స్థిరమైన

ue

క్లాక్ ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_accum_sl oad_register_ గడియారం

గడియారం 0 గడియారం 1 గడియారం 2

అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_accum_sl oad_register_ aclr

ఏదీ కాదు ACLR0 ACLR1

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_accum_sl oad_register_ sclr

SCLR0 SCLR1 కాదు

డబుల్ అక్యుమ్యులేటర్‌ని ప్రారంభించండి

gui_double_a ఆన్

ccum

ఆఫ్

డిఫాల్ట్ విలువ

వివరణ

ఆఫ్

accum_sloadని ప్రారంభించండి లేదా

sload_accum సిగ్నల్స్ మరియు నమోదు ఇన్‌పుట్

డైనమిక్‌గా ఇన్‌పుట్‌ని ఎంచుకోవడానికి

సంచితం.

accum_sload తక్కువగా ఉన్నప్పుడు లేదా sload_accum ఉన్నప్పుడు, గుణకం అవుట్‌పుట్ అక్యుమ్యులేటర్‌లోకి ఫీడ్ అవుతుంది.

accum_sload ఎక్కువగా ఉన్నప్పుడు లేదా sload_accum ఉన్నప్పుడు, వినియోగదారు పేర్కొన్న ప్రీలోడ్ స్థిరాంకం అక్యుమ్యులేటర్‌లోకి ఫీడ్ చేయబడుతుంది.

ఎనేబుల్ అక్యుమ్యులేటర్ కోసం మీరు తప్పక అవును ఎంచుకోవాలా? ఈ ఎంపికను ఎనేబుల్ చేయడానికి పరామితి.

ACCUM_SL OAD

accum_sload/ sload_accum సిగ్నల్ ప్రవర్తనను నిర్దేశిస్తుంది.
ACCUM_SLOAD: గుణకం అవుట్‌పుట్‌ను అక్యుమ్యులేటర్‌కు లోడ్ చేయడానికి అక్యూమ్_స్లోడ్ తక్కువగా డ్రైవ్ చేయండి.
SLOAD_ACCUM: గుణకం అవుట్‌పుట్‌ను అక్యుమ్యులేటర్‌కు లోడ్ చేయడానికి sload_accum హైని డ్రైవ్ చేయండి.
ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు తప్పక ఎనేబుల్ ప్రీలోడ్ స్థిరాంకం ఎంపికను ఎంచుకోవాలి.

64

ప్రీసెట్ స్థిరమైన విలువను పేర్కొనండి.

ఈ విలువ 2N కావచ్చు, ఇక్కడ N అనేది ప్రీసెట్ స్థిరమైన విలువ.

N=64 అయినప్పుడు, అది స్థిరమైన సున్నాని సూచిస్తుంది.

ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు తప్పక ఎనేబుల్ ప్రీలోడ్ స్థిరాంకం ఎంపికను ఎంచుకోవాలి.

క్లాక్ -0

accum_sload/sload_accum రిజిస్టర్ కోసం ఇన్‌పుట్ క్లాక్ సిగ్నల్‌ను పేర్కొనడానికి Clock0 , Clock1 లేదా Clock2ని ఎంచుకోండి.
ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు తప్పక ఎనేబుల్ ప్రీలోడ్ స్థిరాంకం ఎంపికను ఎంచుకోవాలి.

కాదు

accum_sload/sload_accum రిజిస్టర్ కోసం అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది.
ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు తప్పక ఎనేబుల్ ప్రీలోడ్ స్థిరాంకం ఎంపికను ఎంచుకోవాలి.

కాదు

accum_sload/sload_accum రిజిస్టర్ కోసం సింక్రోనస్ క్లియర్ సోర్స్‌ని పేర్కొంటుంది.
ఈ పరామితిని ఎనేబుల్ చేయడానికి మీరు తప్పక ఎనేబుల్ ప్రీలోడ్ స్థిరాంకం ఎంపికను ఎంచుకోవాలి.

ఆఫ్

డబుల్ అక్యుమ్యులేటర్ రిజిస్టర్‌ను ప్రారంభిస్తుంది.

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 54

అభిప్రాయాన్ని పంపండి

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

8.6.6 సిస్టోలిక్/చైనౌట్ ట్యాబ్

టేబుల్ 35. సిస్టోలిక్/చైనౌట్ యాడర్ ట్యాబ్

పరామితి చైన్‌అవుట్ యాడర్‌ని ప్రారంభించండి

IP రూపొందించిన పరామితి

విలువ

chainout_జోడించు అవును,

er

నం

చైన్అవుట్ యాడర్ ఆపరేషన్ రకం ఏమిటి?

chainout_add ADD,

er_direction

SUB

చైన్అవుట్ యాడర్ కోసం `నెగేట్' ఇన్‌పుట్‌ని ప్రారంభించాలా?

పోర్ట్_నెగేట్

PORT_USED, PORT_UNUSED

'నిరాకరణ' ఇన్‌పుట్‌ను నమోదు చేయాలా? negate_regist er

నమోదుకాని, గడియారం 0, గడియారం 1, గడియారం 2, గడియారం 3

అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

negate_aclr

ఏదీ కాదు ACLR0 ACLR1

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

negate_sclr

SCLR0 SCLR1 కాదు

సిస్టోలిక్ ఆలస్యం
సిస్టోలిక్ ఆలస్యం రిజిస్టర్‌లను ప్రారంభించండి

gui_systolic_d ఆన్

Elay

ఆఫ్

క్లాక్ ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_systolic_d CLOCK0,

elay_clock

గడియారం 1,

డిఫాల్ట్ విలువ
నం

వివరణ
చైన్‌అవుట్ యాడర్ మాడ్యూల్‌ని ప్రారంభించడానికి అవును ఎంచుకోండి.

జోడించు

చైన్‌అవుట్ యాడర్ ఆపరేషన్‌ని పేర్కొంటుంది.
వ్యవకలనం ఆపరేషన్ కోసం, మల్టిప్లయర్స్ A ఇన్‌పుట్‌ల కోసం ప్రాతినిధ్య ఆకృతి ఏమిటి? మరియు మల్టిప్లైయర్స్ B ఇన్‌పుట్‌ల కోసం ప్రాతినిధ్య ఆకృతి ఏమిటి? మల్టిప్లైయర్స్ ట్యాబ్‌లో.

PORT_UN USED

ఇన్‌పుట్ సిగ్నల్‌ను తిరస్కరించడాన్ని ప్రారంభించడానికి PORT_USEDని ఎంచుకోండి.
చైన్అవుట్ యాడర్ నిలిపివేయబడినప్పుడు ఈ పరామితి చెల్లదు.

UNREGIST ERED

నెగేట్ ఇన్‌పుట్ సిగ్నల్ కోసం ఇన్‌పుట్ రిజిస్టర్‌ను ఎనేబుల్ చేయడానికి మరియు నెగేట్ రిజిస్టర్ కోసం ఇన్‌పుట్ క్లాక్ సిగ్నల్‌ను నిర్దేశిస్తుంది.
నెగెట్ ఇన్‌పుట్ రిజిస్టర్ అవసరం లేకుంటే UNREGISTEREDని ఎంచుకోండి
మీరు ఎంచుకున్నప్పుడు ఈ పరామితి చెల్లదు:
చైన్‌అవుట్ యాడర్‌ని ప్రారంభించడం లేదా
చైన్‌అవుట్ యాడర్ కోసం 'నెగేట్' ఇన్‌పుట్‌ని ప్రారంభించాలా? కోసం PORT_UNUSED పరామితి లేదా

కాదు

నెగేట్ రిజిస్టర్ కోసం అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది.
మీరు ఎంచుకున్నప్పుడు ఈ పరామితి చెల్లదు:
చైన్‌అవుట్ యాడర్‌ని ప్రారంభించడం లేదా
చైన్‌అవుట్ యాడర్ కోసం 'నెగేట్' ఇన్‌పుట్‌ని ప్రారంభించాలా? కోసం PORT_UNUSED పరామితి లేదా

కాదు

నెగేట్ రిజిస్టర్ కోసం సింక్రోనస్ క్లియర్ సోర్స్‌ను పేర్కొంటుంది.
మీరు ఎంచుకున్నప్పుడు ఈ పరామితి చెల్లదు:
చైన్‌అవుట్ యాడర్‌ని ప్రారంభించడం లేదా
చైన్‌అవుట్ యాడర్ కోసం 'నెగేట్' ఇన్‌పుట్‌ని ప్రారంభించాలా? కోసం PORT_UNUSED పరామితి లేదా

ఆఫ్ CLOCK0

సిస్టోలిక్ మోడ్‌ను ప్రారంభించడానికి ఈ ఎంపికను ఎంచుకోండి. మల్టిప్లైయర్‌ల సంఖ్య ఎంత కోసం మీరు 2 లేదా 4ని ఎంచుకున్నప్పుడు ఈ పరామితి అందుబాటులో ఉంటుంది? పరామితి. సిస్టోలిక్ ఆలస్యం రిజిస్టర్‌లను ఉపయోగించడానికి మీరు తప్పనిసరిగా యాడర్ యూనిట్ యొక్క రిజిస్టర్ అవుట్‌పుట్‌ను ప్రారంభించాలి.
సిస్టోలిక్ ఆలస్యం రిజిస్టర్ కోసం ఇన్‌పుట్ క్లాక్ సిగ్నల్‌ను పేర్కొంటుంది.
కొనసాగింది…

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 55

8. Intel FPGA మల్టిప్లై యాడర్ IP కోర్ 683490 | 2020.10.05

పరామితి

IP రూపొందించిన పరామితి

విలువ

గడియారం 2,

అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_systolic_d elay_aclr

ఏదీ కాదు ACLR0 ACLR1

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_systolic_d elay_sclr

SCLR0 SCLR1 కాదు

డిఫాల్ట్ విలువ
కాదు
కాదు

వివరణ
ఈ ఎంపికను ప్రారంభించడానికి మీరు తప్పనిసరిగా సిస్టోలిక్ ఆలస్యం రిజిస్టర్‌లను ఎనేబుల్ చేయి ఎంచుకోవాలి.
సిస్టోలిక్ ఆలస్యం రిజిస్టర్ కోసం అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది. ఈ ఎంపికను ప్రారంభించడానికి మీరు తప్పనిసరిగా సిస్టోలిక్ ఆలస్యం రిజిస్టర్‌లను ఎనేబుల్ చేయి ఎంచుకోవాలి.
సిస్టోలిక్ ఆలస్యం రిజిస్టర్ కోసం సింక్రోనస్ క్లియర్ సోర్స్‌ను పేర్కొంటుంది. ఈ ఎంపికను ప్రారంభించడానికి మీరు తప్పనిసరిగా సిస్టోలిక్ ఆలస్యం రిజిస్టర్‌లను ఎనేబుల్ చేయి ఎంచుకోవాలి.

8.6.7 పైప్‌లైనింగ్ ట్యాబ్

టేబుల్ 36. పైప్‌లైనింగ్ ట్యాబ్

పారామీటర్ పైప్‌లైనింగ్ కాన్ఫిగరేషన్

IP రూపొందించిన పరామితి

విలువ

మీరు ఇన్‌పుట్‌కి పైప్‌లైన్ రిజిస్టర్‌ను జోడించాలనుకుంటున్నారా?

gui_pipelining లేదు, అవును

డిఫాల్ట్ విలువ
నం

దయచేసి పేర్కొనండి

జాప్యం

జాప్యం గడియారం సంఖ్య

చక్రాలు

ఏదైనా విలువ 0 కంటే ఎక్కువ

క్లాక్ ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_input_late ncy_clock

CLOCK0, CLOCK1, CLOCK2

అసమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_input_late ncy_aclr

ఏదీ కాదు ACLR0 ACLR1

సమకాలిక స్పష్టమైన ఇన్‌పుట్‌కు మూలం ఏమిటి?

gui_input_late ncy_sclr

SCLR0 SCLR1 కాదు

CLOCK0 కాదు ఏదీ లేదు

వివరణ
ఇన్‌పుట్ సిగ్నల్‌లకు పైప్‌లైన్ రిజిస్టర్ యొక్క అదనపు స్థాయిని ప్రారంభించడానికి అవును ఎంచుకోండి. దయచేసి జాప్యం గడియార చక్రాల పరామితి సంఖ్యను పేర్కొనండి కోసం మీరు తప్పనిసరిగా 0 కంటే ఎక్కువ విలువను పేర్కొనాలి.
గడియార చక్రాలలో కావలసిన జాప్యాన్ని పేర్కొంటుంది. పైప్‌లైన్ రిజిస్టర్ యొక్క ఒక స్థాయి = గడియార చక్రంలో 1 జాప్యం. మీరు ఇన్‌పుట్‌కి పైప్‌లైన్ రిజిస్టర్‌ను జోడించాలనుకుంటున్నారా? కోసం మీరు తప్పక అవును ఎంచుకోవాలి. ఈ ఎంపికను ప్రారంభించడానికి.
పైప్‌లైన్ రిజిస్టర్ ఇన్‌పుట్ క్లాక్ సిగ్నల్‌ను ప్రారంభించడానికి మరియు పేర్కొనడానికి Clock0 , Clock1 లేదా Clock2ని ఎంచుకోండి. మీరు ఇన్‌పుట్‌కి పైప్‌లైన్ రిజిస్టర్‌ను జోడించాలనుకుంటున్నారా? కోసం మీరు తప్పక అవును ఎంచుకోవాలి. ఈ ఎంపికను ప్రారంభించడానికి.
అదనపు పైప్‌లైన్ రిజిస్టర్ కోసం రిజిస్టర్ అసమకాలిక స్పష్టమైన మూలాన్ని పేర్కొంటుంది. మీరు ఇన్‌పుట్‌కి పైప్‌లైన్ రిజిస్టర్‌ను జోడించాలనుకుంటున్నారా? కోసం మీరు తప్పక అవును ఎంచుకోవాలి. ఈ ఎంపికను ప్రారంభించడానికి.
అదనపు పైప్‌లైన్ రిజిస్టర్ కోసం రిజిస్టర్ సింక్రోనస్ క్లియర్ సోర్స్‌ను పేర్కొంటుంది. మీరు ఇన్‌పుట్‌కి పైప్‌లైన్ రిజిస్టర్‌ను జోడించాలనుకుంటున్నారా? కోసం మీరు తప్పక అవును ఎంచుకోవాలి. ఈ ఎంపికను ప్రారంభించడానికి.

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 56

అభిప్రాయాన్ని పంపండి

683490 | 2020.10.05 అభిప్రాయాన్ని పంపండి

9. ALTMEMMULT (మెమరీ-ఆధారిత స్థిర గుణకం గుణకం) IP కోర్

శ్రద్ధ:

ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ వెర్షన్ 20.3లో ఈ IP మద్దతును ఇంటెల్ తొలగించింది. మీ డిజైన్‌లోని IP కోర్ Intel Quartus Prime Pro ఎడిషన్‌లోని పరికరాలను లక్ష్యంగా చేసుకుంటే, మీరు IPని LPM_MULT Intel FPGA IPతో భర్తీ చేయవచ్చు లేదా IPని మళ్లీ రూపొందించవచ్చు మరియు Intel Quartus Prime Standard Edition సాఫ్ట్‌వేర్‌ని ఉపయోగించి మీ డిజైన్‌ను కంపైల్ చేయవచ్చు.

ALTMEMMULT IP కోర్ అనేది Intel FPGAలలో (M512, M4K, M9K మరియు MLAB మెమరీ బ్లాక్‌లతో) కనిపించే ఆన్చిప్ మెమరీ బ్లాక్‌లను ఉపయోగించి మెమరీ-ఆధారిత మల్టిప్లైయర్‌లను సృష్టించడానికి ఉపయోగించబడుతుంది. లాజిక్ ఎలిమెంట్స్ (LEs) లేదా డెడికేటెడ్ మల్టిప్లైయర్ రిసోర్స్‌లలోని మల్టిప్లైయర్‌లను అమలు చేయడానికి మీకు తగిన వనరులు లేకుంటే ఈ IP కోర్ ఉపయోగకరంగా ఉంటుంది.
ALTMEMMULT IP కోర్ అనేది గడియారం అవసరమయ్యే సింక్రోనస్ ఫంక్షన్. ALTMEMMULT IP కోర్ ఇచ్చిన పారామితులు మరియు స్పెసిఫికేషన్‌ల కోసం సాధ్యమయ్యే అతిచిన్న నిర్గమాంశ మరియు జాప్యంతో గుణకాన్ని అమలు చేస్తుంది.
కింది బొమ్మ ALTMEMMULT IP కోర్ కోసం పోర్ట్‌లను చూపుతుంది.

మూర్తి 21. ALTMEMMULT పోర్ట్‌లు

ALTMEMMULT

data_in[] sload_data coeff_in[]

ఫలితం[] result_valid load_done

sload_coeff

sclr గడియారం
inst

పేజీ 71లో సంబంధిత సమాచార ఫీచర్లు

9.1 ఫీచర్లు
ALTMEMMULT IP కోర్ క్రింది లక్షణాలను అందిస్తుంది: · దీనిలో కనిపించే ఆన్-చిప్ మెమరీ బ్లాక్‌లను ఉపయోగించి మెమరీ-ఆధారిత మల్టిప్లైయర్‌లను మాత్రమే సృష్టిస్తుంది
Intel FPGAలు · 1 బిట్‌ల డేటా వెడల్పుకు మద్దతు ఇస్తుంది · సంతకం చేయబడిన మరియు సంతకం చేయని డేటా ప్రాతినిధ్య ఆకృతికి మద్దతు ఇస్తుంది · స్థిర అవుట్‌పుట్ జాప్యంతో పైప్‌లైనింగ్‌కు మద్దతు ఇస్తుంది

ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్‌మార్క్‌లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్‌లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

ISO 9001:2015 నమోదు చేయబడింది

9. ALTMEMMULT (మెమరీ-ఆధారిత స్థిర గుణకం గుణకం) IP కోర్ 683490 | 2020.10.05
· రాండమ్-యాక్సెస్ మెమరీ (RAM)లో గుణిజాల స్థిరాంకాలను నిల్వ చేస్తుంది
· RAM బ్లాక్ రకాన్ని ఎంచుకోవడానికి ఒక ఎంపికను అందిస్తుంది
· ఐచ్ఛిక సమకాలిక స్పష్టమైన మరియు లోడ్-నియంత్రణ ఇన్‌పుట్ పోర్ట్‌లకు మద్దతు ఇస్తుంది
9.2 వెరిలాగ్ HDL ప్రోటోటైప్
కింది వెరిలాగ్ HDL ప్రోటోటైప్ వెరిలాగ్ డిజైన్‌లో ఉంది File (.v) altera_mf.v in the eda సంశ్లేషణ డైరెక్టరీ.
మాడ్యూల్ altmemmult #( పారామితి coeff_representation = “SIGNED”, పారామీటర్ కోఎఫీషియంట్0 = “UNUSED”, పారామీటర్ data_representation = “SIGNED”, పరామితి intended_device_family = “unused”, పారామితి max_clock_cycles_per_result = 1, పారామితి_ప్రతిఫలితం = 1, పారామీటర్ total_latency = 1, పరామితి వెడల్పు_c = 1, పారామీటర్ వెడల్పు_d = 1, పారామీటర్ వెడల్పు_r = 1, పారామీటర్ వెడల్పు_s = 1, పారామితి lpm_type = “altmemmult”, పారామితి lpm_hint = “ఉపయోగించనిది”) (ఇన్‌పుట్ వైర్ క్లాక్, ఇన్‌పుట్ వైర్ [వెడల్పు_c-1: 0]coeff_in, ఇన్‌పుట్ వైర్ [width_d-1:0] data_in, అవుట్‌పుట్ వైర్ లోడ్_డన్, అవుట్‌పుట్ వైర్ [width_r-1:0] ఫలితం, అవుట్‌పుట్ వైర్ ఫలితం_చెల్లుబాటు, ఇన్‌పుట్ వైర్ sclr, ఇన్‌పుట్ వైర్ [width_s-1:0] సెల్, ఇన్‌పుట్ వైర్ sload_coeff, ఇన్‌పుట్ వైర్ sload_data)/* సంశ్లేషణ syn_black_box=1 */; ముగింపు మాడ్యూల్
9.3 VHDL కాంపోనెంట్ డిక్లరేషన్
VHDL కాంపోనెంట్ డిక్లరేషన్ VHDL డిజైన్‌లో ఉంది File (.vhd) altera_mf_components.vhd in the librariesvhdlaltera_mf డైరెక్టరీ.
భాగం altmemmult జెనరిక్ ( coeff_representation:string := “SIGNED”; గుణకం0: string := “UNUSED”; data_representation:string := “SIGNED”; intended_device_family:string := “unused”; max_clock_:cycles=per_resultal number:1na_per_resultal := 1; ram_block_type:string := “AUTO”; total_latency:natural; width_c:natural; width_d:natural; width_r:natural; width_s:natural := 1; lpm_hint:string := “UNUSED”; lpm_type:string := "altmemmult"); పోర్ట్ (గడియారం: std_logicలో; coeff_in: std_logic_vectorలో (వెడల్పు_c-1 డౌన్ టు 0) := (ఇతరులు => '0'); డేటా_ఇన్: ఇన్ std_logic_vector (width_d-1 downto 0);

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 58

అభిప్రాయాన్ని పంపండి

9. ALTMEMMULT (మెమరీ-ఆధారిత స్థిర గుణకం గుణకం) IP కోర్ 683490 | 2020.10.05

load_done:out std_logic; ఫలితం:అవుట్ std_logic_vector(width_r-1 downto 0); ఫలితం_చెల్లుబాటు:అవుట్ std_logic; sclr:in std_logic := '0'; sel:in std_logic_vector(width_s-1 downto 0) := (ఇతర => '0'); sload_coeff:in std_logic := '0'; sload_data:in std_logic := '0'); ముగింపు భాగం;

9.4. పోర్టులు

కింది పట్టికలు ALTMEMMULT IP కోర్ కోసం ఇన్‌పుట్ మరియు అవుట్‌పుట్ పోర్ట్‌లను జాబితా చేస్తాయి.

టేబుల్ 37. ALTMEMMULT ఇన్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు

అవసరం

వివరణ

గడియారం

అవును

గుణకానికి క్లాక్ ఇన్‌పుట్.

coeff_in[]

నం

గుణకం కోసం గుణకం ఇన్‌పుట్ పోర్ట్. ఇన్‌పుట్ పోర్ట్ పరిమాణం WIDTH_C పరామితి విలువపై ఆధారపడి ఉంటుంది.

డేటా_ఇన్[]

అవును

గుణకానికి డేటా ఇన్‌పుట్ పోర్ట్. ఇన్‌పుట్ పోర్ట్ పరిమాణం WIDTH_D పరామితి విలువపై ఆధారపడి ఉంటుంది.

sclr

నం

సమకాలిక స్పష్టమైన ఇన్‌పుట్. ఉపయోగించని పక్షంలో, డిఫాల్ట్ విలువ ఎక్కువగా యాక్టివ్‌గా ఉంటుంది.

సెల్[]

నం

స్థిర గుణకం ఎంపిక. ఇన్‌పుట్ పోర్ట్ పరిమాణం WIDTH_Sపై ఆధారపడి ఉంటుంది

పరామితి విలువ.

sload_coeff

నం

సింక్రోనస్ లోడ్ కోఎఫీషియంట్ ఇన్‌పుట్ పోర్ట్. ప్రస్తుత ఎంచుకున్న గుణకం విలువను coeff_in ఇన్‌పుట్‌లో పేర్కొన్న విలువతో భర్తీ చేస్తుంది.

sload_data

నం

సింక్రోనస్ లోడ్ డేటా ఇన్‌పుట్ పోర్ట్. కొత్త గుణకార ఆపరేషన్‌ని పేర్కొనే మరియు ఇప్పటికే ఉన్న ఏదైనా గుణకార ఆపరేషన్‌ని రద్దు చేసే సిగ్నల్. MAX_CLOCK_CYCLES_PER_RESULT పరామితి 1 విలువను కలిగి ఉంటే, sload_data ఇన్‌పుట్ పోర్ట్ విస్మరించబడుతుంది.

టేబుల్ 38. ALTMEMMULT అవుట్‌పుట్ పోర్ట్‌లు

పోర్ట్ పేరు

అవసరం

వివరణ

ఫలితం[]

అవును

మల్టిప్లైయర్ అవుట్‌పుట్ పోర్ట్. ఇన్‌పుట్ పోర్ట్ పరిమాణం WIDTH_R పరామితి విలువపై ఆధారపడి ఉంటుంది.

ఫలితం_చెల్లుతుంది

అవును

అవుట్‌పుట్ పూర్తి గుణకారం యొక్క చెల్లుబాటు అయ్యే ఫలితాన్ని సూచిస్తుంది. MAX_CLOCK_CYCLES_PER_RESULT పరామితి 1 విలువను కలిగి ఉంటే, result_valid అవుట్‌పుట్ పోర్ట్ ఉపయోగించబడదు.

లోడ్_పూర్తయింది

నం

కొత్త గుణకం ఎప్పుడు లోడ్ అవుతుందో సూచిస్తుంది. load_done సిగ్నల్ ఒక కొత్త కోఎఫీషియంట్ లోడ్ చేయడం పూర్తయినప్పుడు నిర్ధారిస్తుంది. load_done సిగ్నల్ ఎక్కువగా ఉంటే తప్ప, మెమరీలోకి మరే ఇతర గుణకం విలువ లోడ్ చేయబడదు.

9.5. పారామితులు

కింది పట్టిక ALTMEMMULT IP కోర్ కోసం పారామితులను జాబితా చేస్తుంది.

పట్టిక 39.
WIDTH_D WIDTH_C

ALTMEMMULT పారామితులు
పారామీటర్ పేరు

టైప్ అవసరం

వివరణ

పూర్ణాంకం అవును

డేటా_ఇన్[] పోర్ట్ వెడల్పును పేర్కొంటుంది.

పూర్ణాంకం అవును

coeff_in[] పోర్ట్ వెడల్పును పేర్కొంటుంది. కొనసాగింది…

అభిప్రాయాన్ని పంపండి

ఇంటెల్ FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్స్ యూజర్ గైడ్ 59

9. ALTMEMMULT (మెమరీ-ఆధారిత స్థిర గుణకం గుణకం) IP కోర్ 683490 | 2020.10.05

పరామితి పేరు WIDTH_R WIDTH

పత్రాలు / వనరులు

intel FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్లు [pdf] యూజర్ గైడ్
FPGA పూర్ణాంక అర్థమెటిక్ IP కోర్లు, పూర్ణాంక అర్థమెటిక్ IP కోర్లు, అర్థమెటిక్ IP కోర్లు, IP కోర్లు

సూచనలు

వ్యాఖ్యానించండి

మీ ఇమెయిల్ చిరునామా ప్రచురించబడదు. అవసరమైన ఫీల్డ్‌లు గుర్తించబడ్డాయి *