FPGA முழு எண் கணித ஐபி கோர்கள்
Intel FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு
Intel® Quartus® Prime Design Suiteக்காக புதுப்பிக்கப்பட்டது: 20.3
ஆன்லைன் பதிப்பு கருத்துக்களை அனுப்பவும்
UG-01063
ஐடி: 683490 பதிப்பு: 2020.10.05
உள்ளடக்கம்
உள்ளடக்கம்
1. இன்டெல் எஃப்பிஜிஏ முழு எண் கணித ஐபி கோர்கள்……………………………………………………………….. 5
2. LPM_COUNTER (கவுன்டர்) ஐபி கோர் …………………………………………………………………………………… 7 2.1. அம்சங்கள் ……………………………………………………………………………………………… 7 2.2. வெரிலாக் எச்டிஎல் முன்மாதிரி……………………………………………………………………………… 8 2.3. VHDL உபகரணப் பிரகடனம்……………………………………………………………… 8 2.4. VHDL லைப்ரரி_யூஸ் பிரகடனம்……………………………………………………………… 9 2.5. துறைமுகங்கள் …………………………………………………………………………………………………………..9 2.6. அளவுருக்கள் …………………………………………………………………………………………………… 10
3. LPM_DIVIDE (Divider) Intel FPGA IP கோர் ……………………………………………………… 12 3.1. அம்சங்கள்………………………………………………………………………………………………. 12 3.2. வெரிலாக் HDL முன்மாதிரி…………………………………………………………………… 12 3.3. VHDL உபகரண பிரகடனம்…………………………………………………………………… 13 3.4. VHDL லைப்ரரி_யூஸ் பிரகடனம்………………………………………………………… 13 3.5. துறைமுகங்கள்…………………………………………………………………………………………………… 13 3.6. அளவுருக்கள் …………………………………………………………………………………………………… 14
4. LPM_MULT (பெருக்கி) ஐபி கோர்………………………………………………………………. 16 4.1. அம்சங்கள்………………………………………………………………………………………………. 16 4.2. வெரிலாக் HDL முன்மாதிரி…………………………………………………………………… 17 4.3. VHDL உபகரண பிரகடனம்…………………………………………………………………… 17 4.4. VHDL லைப்ரரி_யூஸ் பிரகடனம்………………………………………………………… 17 4.5. சிக்னல்கள்………………………………………………………………………………………………………… 18 4.6. ஸ்ட்ராடிக்ஸ் வி, அர்ரியா வி, சைக்ளோன் வி மற்றும் இன்டெல் சைக்ளோன் 10 எல்பி சாதனங்களுக்கான அளவுருக்கள்………………. 18 4.6.1. பொது தாவல்………………………………………………………………………………………………………………………………………………………… 18 4.6.2. பொது 2 தாவல்…………………………………………………………………… 19 4.6.3. பைப்லைனிங் தாவல்…………………………………………………………………… 19 4.7. Intel Stratix 10, Intel Arria 10 மற்றும் Intel Cyclone 10 GX சாதனங்களுக்கான அளவுருக்கள்........ 20 4.7.1. பொது தாவல்………………………………………………………………………………………………………………………………………………………… 20 4.7.2. பொது 2 தாவல்…………………………………………………………………… 20 4.7.3. பைப்லைனிங் ………………………………………………………………………………………… 21
5. LPM_ADD_SUB (சேர்ப்பான்/கழிப்பான்)……………………………………………………………… 22 5.1. அம்சங்கள்………………………………………………………………………………………………. 22 5.2. வெரிலாக் எச்டிஎல் முன்மாதிரி…………………………………………………………………… 23 5.3. VHDL உபகரணப் பிரகடனம்……………………………………………………………… .. 23 5.4. VHDL லைப்ரரி_யூஸ் பிரகடனம்………………………………………………………… 23 5.5. துறைமுகங்கள்…………………………………………………………………………………………………… 23 5.6. அளவுருக்கள்…………………………………………………………………………………………………… 24
6. LPM_COMPARE (ஒப்பீடு செய்பவர்)………………………………………………………………… 26 6.1. அம்சங்கள்………………………………………………………………………………………………. 26 6.2. வெரிலாக் எச்டிஎல் முன்மாதிரி…………………………………………………………………… 27 6.3. VHDL உபகரண பிரகடனம்…………………………………………………………………… 27 6.4. VHDL லைப்ரரி_யூஸ் பிரகடனம்………………………………………………………… 27 6.5. துறைமுகங்கள்…………………………………………………………………………………………………… 27 6.6. அளவுருக்கள்…………………………………………………………………………………………………… 28
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 2
கருத்தை அனுப்பவும்
உள்ளடக்கம்
7. ALTECC (பிழை திருத்தக் குறியீடு: குறியாக்கி/டிகோடர்) IP கோர் ………………………………………… 30
7.1. ALTECC குறியாக்கி அம்சங்கள்……………………………………………………………………………… 31 7.2. வெரிலாக் HDL முன்மாதிரி (ALTECC_ENCODER)…………………………………………. 32 7.3. வெரிலாக் HDL முன்மாதிரி (ALTECC_DECODER)…………………………………………. 32 7.4. VHDL கூறு அறிவிப்பு (ALTECC_ENCODER)………………………………………… 33 7.5. VHDL கூறு அறிவிப்பு (ALTECC_DECODER)………………………………………… 33 7.6. VHDL லைப்ரரி_யூஸ் பிரகடனம்………………………………………………………… 33 7.7. குறியாக்கி துறைமுகங்கள்…………………………………………………………………………………… 33 7.8. டிகோடர் போர்ட்கள்………………………………………………………………………………………………………… 34 7.9. குறியாக்கி அளவுருக்கள்…………………………………………………………………………………… 34 7.10. குறிவிலக்கி அளவுருக்கள் …………………………………………………………………………………… 35
8. இன்டெல் எஃப்பிஜிஏ மல்டிபிளை ஆடர் ஐபி கோர் ………………………………………………………………. 36
8.1 அம்சங்கள்………………………………………………………………………………………………. 37 8.1.1. முன்-சேர்ப்பவர்……………………………………………………………………………… 38 8.1.2. சிஸ்டாலிக் தாமதப் பதிவேடு…………………………………………………………………… 40 8.1.3. ப்ரீ-லோட் கான்ஸ்டன்ட்……………………………………………………………… 43 8.1.4. இரட்டைக் குவிப்பான்……………………………………………………………… 43
8.2 வெரிலாக் HDL முன்மாதிரி………………………………………………………………………… 44 8.3. VHDL உபகரணப் பிரகடனம்…………………………………………………………………… 44 8.4. VHDL லைப்ரரி_யூஸ் பிரகடனம்………………………………………………………… 44 8.5. சிக்னல்கள்………………………………………………………………………………………………………… 44 8.6. அளவுருக்கள்…………………………………………………………………………………………………… 47
8.6.1. பொது தாவல்……………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………… 47 8.6.2. கூடுதல் முறைகள் தாவல்……………………………………………………………….. 47 8.6.3. பெருக்கிகள் தாவல்…………………………………………………………………… 49 8.6.4. ப்ரீடர் தாவல்…………………………………………………………………………. 51 8.6.5. குவிப்பான் தாவல்………………………………………………………………………….. 53 8.6.6. சிஸ்டாலிக்/செயின்அவுட் தாவல்………………………………………………………………. 55 8.6.7. பைப்லைனிங் தாவல்………………………………………………………………………… 56
9. ALTMEMMULT (நினைவக அடிப்படையிலான நிலையான குணகம் பெருக்கி) IP கோர் ……………………………… 57
9.1 அம்சங்கள்………………………………………………………………………………………………. 57 9.2. வெரிலாக் எச்டிஎல் முன்மாதிரி………………………………………………………………………… 58 9.3. VHDL உபகரணப் பிரகடனம்……………………………………………………………… 58 9.4. துறைமுகங்கள்…………………………………………………………………………………………………… 59 9.5. அளவுருக்கள்……………………………………………………………………………………………… 59
10. ALTMULT_ACCUM (பெருக்கி-குவிப்பு) IP கோர் …………………………………………………… 61
10.1 அம்சங்கள் ………………………………………………………………………………………………………………………… 62 10.2. வெரிலாக் எச்டிஎல் முன்மாதிரி………………………………………………………………………………………… 62 10.3. VHDL உபகரண பிரகடனம்……………………………………………………………… 63 10.4. VHDL LIBRARY_USE பிரகடனம்……………………………………………………………… 63 10.5. துறைமுகங்கள்……………………………………………………………………………… 63 10.6. அளவுருக்கள்……………………………………………………………………………………. 64
11. ALTMULT_ADD (பெருக்கி-சேர்ப்பான்) ஐபி கோர் ……………………………………………………………………… 69
11.1. அம்சங்கள் ……………………………………………………………………………………………………………… 71 11.2. வெரிலாக் எச்டிஎல் முன்மாதிரி……………………………………………………………………………… 72 11.3. VHDL உபகரண பிரகடனம்…………………………………………………… 72 11.4. VHDL LIBRARY_USE பிரகடனம்……………………………………………………………… 72
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 3
உள்ளடக்கம்
11.5 துறைமுகங்கள்……………………………………………………………………………… 72 11.6. அளவுருக்கள்……………………………………………………………………………………. 73
12. ALTMULT_COMPLEX (சிக்கலான பெருக்கி) ஐபி கோர் …………………………………………………… 86 12.1. சிக்கலான பெருக்கல் …………………………………………………………… 86 12.2. நியமன பிரதிநிதித்துவம்……………………………………………………………… 87 12.3. வழக்கமான பிரதிநிதித்துவம்……………………………………………………………… 87 12.4. அம்சங்கள்……………………………………………………………………………………………………………… 88 12.5. வெரிலாக் எச்டிஎல் முன்மாதிரி………………………………………………………………………….88 12.6. VHDL உபகரண பிரகடனம்……………………………………………………………… 89 12.7. VHDL LIBRARY_USE பிரகடனம்…………………………………………………… 89 12.8. சிக்னல்கள்…………………………………………………………………………. 89 12.9. அளவுருக்கள்………………………………………………………………………… 90
13. ALTSQRT (Integer Square Root) IP கோர் ……………………………………………………… 92 13.1. அம்சங்கள் …………………………………………………………………………………………………… 92 13.2. வெரிலாக் எச்டிஎல் முன்மாதிரி ……………………………………………………………………………… 92 13.3. VHDL உபகரண பிரகடனம்……………………………………………………………… 93 13.4. VHDL LIBRARY_USE பிரகடனம்……………………………………………………………… 93 13.5. துறைமுகங்கள்……………………………………………………………………………… 93 13.6. அளவுருக்கள்……………………………………………………………………………………. 94
14. PARALLEL_ADD (Parallel Adder) IP கோர் ……………………………………………………………… .. 95 14.1. அம்சம்……………………………………………………………………………………. 95 14.2. வெரிலாக் எச்டிஎல் முன்மாதிரி ……………………………………………………………………………… 95 14.3. VHDL உபகரண பிரகடனம்……………………………………………………………… 96 14.4. VHDL LIBRARY_USE பிரகடனம்……………………………………………………………… 96 14.5. துறைமுகங்கள்……………………………………………………………………………… 96 14.6. அளவுருக்கள்……………………………………………………………………………………. 97
15. முழு எண் எண்கணித IP கோர்கள் பயனர் வழிகாட்டி ஆவணக் காப்பகங்கள்……………………………… 98
16. இன்டெல் எஃப்பிஜிஏ இன்டீஜர் எண்கணித ஐபி கோர்ஸ் பயனர் வழிகாட்டிக்கான ஆவணத் திருத்த வரலாறு…. 99
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 4
கருத்தை அனுப்பவும்
683490 | 2020.10.05 கருத்தை அனுப்பவும்
1. இன்டெல் FPGA முழு எண் கணித ஐபி கோர்கள்
உங்கள் வடிவமைப்பில் கணித செயல்பாடுகளைச் செய்ய Intel® FPGA முழு எண் IP கோர்களைப் பயன்படுத்தலாம்.
இந்த செயல்பாடுகள் உங்கள் சொந்த செயல்பாடுகளை குறியிடுவதை விட திறமையான லாஜிக் தொகுப்பு மற்றும் சாதன செயலாக்கத்தை வழங்குகின்றன. உங்கள் வடிவமைப்பு தேவைகளுக்கு ஏற்ப ஐபி கோர்களை தனிப்பயனாக்கலாம்.
இன்டெல் முழு எண் எண்கணித ஐபி கோர்கள் பின்வரும் இரண்டு வகைகளாகப் பிரிக்கப்படுகின்றன: · அளவுருக்கள் கொண்ட தொகுதிகளின் நூலகம் (எல்பிஎம்) ஐபி கோர்கள் · இன்டெல்-குறிப்பிட்ட (ஏஎல்டி) ஐபி கோர்கள்
பின்வரும் அட்டவணை முழு எண் கணித ஐபி கோர்களை பட்டியலிடுகிறது.
அட்டவணை 1.
ஐபி கோர்களின் பட்டியல்
ஐபி கோர்கள்
எல்பிஎம் ஐபி கோர்கள்
LPM_COUNTER
LPM_DIVIDE
LPM_MULT
LPM_ADD_SUB
LPM_COMPARE
Intel-specific (ALT) IP கோர்கள் ALTECC
செயல்பாடு முடிந்ததுview எதிர் பிரிப்பான் பெருக்கி
சேர் அல்லது கழிப்பான் ஒப்பீட்டாளர்
ECC என்கோடர்/டிகோடர்
ஆதரிக்கப்படும் சாதனம்
Arria® II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone® IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP,
Intel Cyclone 10 GX, MAX® II, MAX V, MAX 10, Stratix® IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
சைக்ளோன் V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
சைக்ளோன் V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Cyclone IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP, MAX 10, MAX
II, மேக்ஸ் வி, ஸ்ட்ராடிக்ஸ் IV, ஸ்ட்ராடிக்ஸ் வி
Arria II GX, Arria II GZ, Arria V, Cyclone IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP, MAX 10, MAX
II, மேக்ஸ் வி, ஸ்ட்ராடிக்ஸ் IV, ஸ்ட்ராடிக்ஸ் வி
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
சைக்ளோன் வி, இன்டெல் சைக்ளோன் 10 எல்பி, இன்டெல் சைக்ளோன் 10 ஜிஎக்ஸ், மேக்ஸ் II, மேக்ஸ் வி, மேக்ஸ்
10, ஸ்ட்ராடிக்ஸ் IV, ஸ்ட்ராடிக்ஸ் V தொடர்ந்தது...
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
ISO 9001:2015 பதிவு செய்யப்பட்டது
1. இன்டெல் FPGA முழு எண் கணித ஐபி கோர்கள் 683490 | 2020.10.05
ஐபி கோர்ஸ் இன்டெல் எஃப்பிஜிஏ பெருக்கி சேர்ப்பான் அல்லது ALTERA_MULT_ADD ALTMEMMULT
ALTMULT_ACCUM ALTMULT_ADD ALTMULT_COMPLEX
ALTSQRT
PARALLEL_ADD
செயல்பாடு முடிந்ததுview பெருக்கி-சேர்ப்பான்
நினைவக அடிப்படையிலான நிலையான குணகம் பெருக்கி
பெருக்கி-திரட்சி பெருக்கி-சேர்ப்பான்
சிக்கலான பெருக்கி
முழு எண் சதுர-வேர்
இணை சேர்ப்பான்
ஆதரிக்கப்படும் சாதனம்
Arria V, Stratix V, Cyclone V, Intel Stratix 10, Intel Arria 10, Intel Cyclone
10 ஜிஎக்ஸ்
Arria II GX, Arria II GZ, Arria V, Intel Arria 10 (Intel Quartus® Prime Standard Edition), Cyclone IV E, Cyclone IV GX, Cyclone V, Intel
சூறாவளி 10 LP, MAX II, MAX V, MAX 10, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Cyclone IV E, Cyclone IV GX, Intel Cyclone 10 LP, MAX 10, MAX II, MAX V, Stratix IV
Arria II GX, Arria II GZ, Cyclone IV E, Cyclone IV GX, Intel Cyclone 10 LP, MAX 10, MAX II, MAX V, Stratix IV
Arria II GX, Arria II GZ, Intel Arria 10, Arria V, Arria V GZ, Cyclone IV E, Cyclone IV GX, Cyclone V, Intel
சைக்ளோன் 10 GX, Intel Cyclone 10 LP, MAX 10, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
சைக்ளோன் V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, ஸ்ட்ராடிக்ஸ் IV, ஸ்ட்ராடிக்ஸ் வி
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
சூறாவளி V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, ஸ்ட்ராடிக்ஸ் IV, ஸ்ட்ராடிக்ஸ் வி
தொடர்புடைய தகவல்
இன்டெல் FPGAகள் மற்றும் நிரல்படுத்தக்கூடிய சாதனங்கள் வெளியீட்டு குறிப்புகள்
· Intel FPGA IP கோர்கள் அறிமுகம் Intel FPGA IP கோர்கள் பற்றிய கூடுதல் தகவல்களை வழங்குகிறது.
· Floating-Point IP கோர்கள் பயனர் கையேடு Intel FPGA Floating-Point IP கோர்கள் பற்றிய கூடுதல் தகவல்களை வழங்குகிறது.
Intel FPGA IP கோர்களுக்கான அறிமுகம் அனைத்து Intel FPGA IP கோர்கள் பற்றிய பொதுவான தகவலை வழங்குகிறது, இதில் அளவுருக்கள், உருவாக்குதல், மேம்படுத்துதல் மற்றும் IP கோர்களை உருவகப்படுத்துதல் ஆகியவை அடங்கும்.
· பதிப்பு-சுயாதீன IP மற்றும் Qsys உருவகப்படுத்துதல் ஸ்கிரிப்ட்களை உருவாக்குதல் மென்பொருள் அல்லது IP பதிப்பு மேம்படுத்தல்களுக்கு கைமுறையாக மேம்படுத்தல்கள் தேவைப்படாத உருவகப்படுத்துதல் ஸ்கிரிப்ட்களை உருவாக்கவும்.
· உங்கள் திட்டம் மற்றும் ஐபியின் திறமையான மேலாண்மை மற்றும் பெயர்வுத்திறனுக்கான திட்ட மேலாண்மை சிறந்த நடைமுறைகள் வழிகாட்டுதல்கள் files.
· முழு எண் எண்கணித IP கோர்கள் பயனர் வழிகாட்டி ஆவணக் காப்பகங்கள் பக்கம் 98 இல் உள்ள முழு எண் கணித IP கோர்களின் முந்தைய பதிப்புகளுக்கான பயனர் வழிகாட்டிகளின் பட்டியலை வழங்குகிறது.
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 6
கருத்தை அனுப்பவும்
683490 | 2020.10.05 கருத்தை அனுப்பவும்
2. LPM_COUNTER (கவுண்டர்) IP கோர்
படம் 1.
LPM_COUNTER IP கோர் என்பது பைனரி கவுண்டர் ஆகும், இது 256 பிட்கள் அகலம் கொண்ட வெளியீடுகளுடன் மேல் அல்லது கீழ் கவுண்டர்களை உருவாக்குகிறது.
பின்வரும் படம் LPM_COUNTER IP மையத்திற்கான போர்ட்களைக் காட்டுகிறது.
LPM_COUNTER போர்ட்கள்
LPM_COUNTER
ssclr sload sset தரவு[]
q[]
மேல் கீழ்
கூட்
aclr aload aset
clk_en cnt_en cin
inst
2.1 அம்சங்கள்
LPM_COUNTER IP கோர் பின்வரும் அம்சங்களை வழங்குகிறது: · மேல், கீழ் மற்றும் மேல்/கீழ் கவுண்டர்களை உருவாக்குகிறது · பின்வரும் கவுண்டர் வகைகளை உருவாக்குகிறது:
— ப்ளைன் பைனரி- பூஜ்ஜியத்திலிருந்து தொடங்கும் எதிர் அதிகரிப்பு அல்லது 255 இலிருந்து தொடங்கும் குறைப்பு
— மாடுலஸ் – பயனரால் குறிப்பிடப்பட்ட மாடுலஸ் மதிப்பில் இருந்து கவுண்டர் அதிகரிக்கிறது அல்லது குறைகிறது
· விருப்ப ஒத்திசைவான தெளிவான, ஏற்ற மற்றும் உள்ளீட்டு போர்ட்களை ஆதரிக்கிறது · விருப்ப ஒத்திசைவற்ற தெளிவான, ஏற்ற மற்றும் உள்ளீட்டு போர்ட்களை ஆதரிக்கிறது · விருப்ப எண்ணிக்கையை இயக்கவும் மற்றும் கடிகாரத்தை செயல்படுத்தவும் உள்ளீட்டு போர்ட்களை ஆதரிக்கிறது · விருப்பமான கேரி-இன் மற்றும் கேரி-அவுட் போர்ட்களை ஆதரிக்கிறது
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
ISO 9001:2015 பதிவு செய்யப்பட்டது
2. LPM_COUNTER (கவுண்டர்) IP கோர்
683490 | 2020.10.05
2.2 வெரிலாக் HDL முன்மாதிரி
பின்வரும் வெரிலாக் எச்டிஎல் முன்மாதிரி வெரிலாக் டிசைனில் உள்ளது File (.v) lpm.v இல் edasynthesis அடைவு.
தொகுதி lpm_counter ( q, data, clock, cin, cout, clk_en, cnt_en, updown, aset, aclr, aload, sset, sclr, sload, eq ); அளவுரு lpm_type = “lpm_counter”; அளவுரு lpm_width = 1; அளவுரு lpm_modulus = 0; அளவுரு lpm_direction = “பயன்படுத்தப்படாதது”; அளவுரு lpm_avalue = "பயன்படுத்தப்படாதது"; அளவுரு lpm_svalue = "பயன்படுத்தப்படாதது"; அளவுரு lpm_pvalue = "பயன்படுத்தப்படாதது"; அளவுரு lpm_port_updown = “PORT_CONNECTIVITY”; அளவுரு lpm_hint = "பயன்படுத்தப்படாதது"; வெளியீடு [lpm_width-1:0] q; வெளியீடு கவுட்; வெளியீடு [15:0] சமம்; உள்ளீடு சின்; உள்ளீடு [lpm_width-1:0] தரவு; உள்ளீடு கடிகாரம், clk_en, cnt_en, updown; உள்ளீடு சொத்து, aclr, aload; உள்ளீடு sset, sclr, sload; இறுதி தொகுதி
2.3 VHDL கூறு அறிவிப்பு
VHDL கூறு அறிவிப்பு VHDL வடிவமைப்பில் அமைந்துள்ளது File (.vhd) LPM_PACK.vhd இல் நூலகங்கள்vhdllpm அடைவு.
கூறு LPM_COUNTER (LPM_WIDTH: இயற்கை; LPM_MODULUS: இயற்கை := 0; LPM_DIRECTION: சரம் IVITY” ; போர்ட் (DATA : std_logic_vector இல்(LPM_WIDTH-1 கீழ்நோக்கி 0):= (OTHERS =>
'0'); கடிகாரம்: std_logic இல்; CLK_EN : std_logic இல் := '1'; CNT_EN : std_logic இல் := '1'; UPDOWN : std_logic இல் := '1'; SLOAD : std_logic இல் := '0'; SSET : std_logic இல் := '0'; SCLR : std_logic இல் := '0'; ALOAD : std_logic இல் := '0'; ASET : std_logic இல் := '0'; ACLR : std_logic இல் := '0'; CIN : std_logic இல் := '1'; COUT : out std_logic := '0'; கே: அவுட் std_logic_vector(LPM_WIDTH-1 டவுன் டு 0); ஈக்யூ : அவுட் std_logic_vector(15 கீழ்நோக்கி 0));
இறுதி கூறு;
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 8
கருத்தை அனுப்பவும்
2. LPM_COUNTER (கவுண்டர்) IP கோர் 683490 | 2020.10.05
2.4 VHDL LIBRARY_USE பிரகடனம்
நீங்கள் VHDL உபகரணப் பிரகடனத்தைப் பயன்படுத்தினால், VHDL லைப்ரரி-பயன்பாட்டு அறிவிப்பு தேவையில்லை.
லைப்ரரி எல்பிஎம்; lpm.lpm_components.all பயன்படுத்தவும்;
2.5 துறைமுகங்கள்
பின்வரும் அட்டவணைகள் LPM_COUNTER IP மையத்திற்கான உள்ளீடு மற்றும் வெளியீட்டு போர்ட்களை பட்டியலிடுகிறது.
அட்டவணை 2.
LPM_COUNTER உள்ளீட்டு போர்ட்கள்
துறைமுக பெயர்
தேவை
விளக்கம்
தகவல்கள்[]
இல்லை
கவுண்டருக்கு இணையான தரவு உள்ளீடு. உள்ளீட்டு போர்ட்டின் அளவு LPM_WIDTH அளவுரு மதிப்பைப் பொறுத்தது.
கடிகாரம்
ஆம்
நேர்மறை முனை தூண்டப்பட்ட கடிகார உள்ளீடு.
clk_en
இல்லை
அனைத்து ஒத்திசைவான செயல்பாடுகளையும் இயக்க கடிகாரம் உள்ளீட்டை இயக்குகிறது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 1 ஆகும்.
cnt_en
இல்லை
ஸ்லோட், sset அல்லது sclr ஐ பாதிக்காமல், குறைவாக இருப்பதாக வலியுறுத்தப்படும் போது, எண்ணிக்கையை முடக்க உள்ளீட்டை இயக்கவும். தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 1 ஆகும்.
மேல் கீழ்
இல்லை
எண்ணிக்கையின் திசையைக் கட்டுப்படுத்துகிறது. அதிக (1) என வலியுறுத்தப்படும் போது, எண்ணிக்கையின் திசை மேலே இருக்கும், மேலும் குறைந்த (0) என வலியுறுத்தப்படும் போது, எண்ணிக்கையின் திசை கீழே இருக்கும். LPM_DIRECTION அளவுரு பயன்படுத்தப்பட்டால், அப்டவுன் போர்ட்டை இணைக்க முடியாது. LPM_DIRECTION பயன்படுத்தப்படாவிட்டால், அப்டவுன் போர்ட் விருப்பமானது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு (1) அதிகமாக இருக்கும்.
சின்
இல்லை
லோ-ஆர்டர் பிட்டுக்கு எடுத்துச் செல்லுங்கள். மேல் கவுண்டர்களுக்கு, சின் உள்ளீட்டின் நடத்தை
cnt_en உள்ளீட்டின் நடத்தைக்கு ஒத்ததாகும். தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 1 ஆகும்
(விசிசி).
aclr
இல்லை
ஒத்திசைவற்ற தெளிவான உள்ளீடு. aset மற்றும் aclr இரண்டும் பயன்படுத்தப்பட்டு வலியுறுத்தப்பட்டால், aclr அசெட்டை மீறுகிறது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 0 (முடக்கப்பட்டது).
சொத்து
இல்லை
ஒத்திசைவற்ற தொகுப்பு உள்ளீடு. q[] வெளியீடுகளை அனைத்து 1கள் அல்லது LPM_AVALUE அளவுருவால் குறிப்பிடப்பட்ட மதிப்பில் குறிப்பிடுகிறது. aset மற்றும் aclr போர்ட்கள் இரண்டும் பயன்படுத்தப்பட்டு உறுதிப்படுத்தப்பட்டால், aclr போர்ட்டின் மதிப்பு அசெட் போர்ட்டின் மதிப்பை மீறுகிறது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 0, முடக்கப்பட்டது.
ஏற்று
இல்லை
ஒத்திசைவற்ற சுமை உள்ளீடு, தரவு உள்ளீட்டின் மதிப்புடன் கவுண்டரை ஒத்திசைவற்ற முறையில் ஏற்றுகிறது. அலோட் போர்ட் பயன்படுத்தப்படும் போது, தரவு[] போர்ட் இணைக்கப்பட்டிருக்க வேண்டும். தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 0, முடக்கப்பட்டது.
sclr
இல்லை
அடுத்த செயலில் உள்ள கடிகார விளிம்பில் உள்ள கவுண்டரை அழிக்கும் ஒத்திசைவான தெளிவான உள்ளீடு. sset மற்றும் sclr போர்ட்கள் இரண்டும் பயன்படுத்தப்பட்டு வலியுறுத்தப்பட்டால், sclr போர்ட்டின் மதிப்பு sset போர்ட்டின் மதிப்பை மீறுகிறது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 0, முடக்கப்பட்டது.
sset
இல்லை
அடுத்த செயலில் உள்ள கடிகார விளிம்பில் கவுண்டரை அமைக்கும் ஒத்திசைவான செட் உள்ளீடு. q வெளியீடுகளின் மதிப்பை அனைத்து 1கள் அல்லது LPM_SVALUE அளவுருவால் குறிப்பிடப்பட்ட மதிப்பைக் குறிப்பிடுகிறது. sset மற்றும் sclr போர்ட்கள் இரண்டும் பயன்படுத்தப்பட்டு வலியுறுத்தப்பட்டால்,
sclr போர்ட்டின் மதிப்பு sset போர்ட்டின் மதிப்பை மீறுகிறது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 0 (முடக்கப்பட்டது).
ஸ்லோட்
இல்லை
அடுத்த செயலில் உள்ள கடிகார விளிம்பில் டேட்டா[] உடன் கவுண்டரை ஏற்றும் ஒத்திசைவான சுமை உள்ளீடு. ஸ்லோட் போர்ட் பயன்படுத்தப்படும் போது, தரவு[] போர்ட் இணைக்கப்பட்டிருக்க வேண்டும். தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 0 (முடக்கப்பட்டது).
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 9
2. LPM_COUNTER (கவுண்டர்) IP கோர் 683490 | 2020.10.05
அட்டவணை 3.
LPM_COUNTER அவுட்புட் போர்ட்கள்
துறைமுக பெயர்
தேவை
விளக்கம்
q[]
இல்லை
கவுண்டரில் இருந்து தரவு வெளியீடு. வெளியீட்டு துறைமுகத்தின் அளவு சார்ந்துள்ளது
LPM_WIDTH அளவுரு மதிப்பு. q[] அல்லது குறைந்தபட்சம் eq[15..0] போர்ட்களில் ஒன்று
இணைக்கப்பட வேண்டும்.
eq[15..0]
இல்லை
எதிர் டிகோட் வெளியீடு. அளவுரு எடிட்டரில் eq[15..0] போர்ட்டை அணுக முடியாது, ஏனெனில் அளவுரு AHDL ஐ மட்டுமே ஆதரிக்கிறது.
q[] போர்ட் அல்லது eq[] போர்ட் இணைக்கப்பட்டிருக்க வேண்டும். c eq போர்ட்கள் வரை பயன்படுத்தலாம் (0 <= c <= 15). 16 குறைந்த எண்ணிக்கை மதிப்புகள் மட்டுமே டிகோட் செய்யப்படுகின்றன. எண்ணிக்கை மதிப்பு c ஆக இருக்கும் போது, eqc வெளியீடு உயர்வாக (1) வலியுறுத்தப்படுகிறது. உதாரணமாகample, எண்ணிக்கை 0 ஆக இருக்கும்போது, eq0 = 1, எண்ணிக்கை 1 ஆக இருக்கும்போது, eq1 = 1, மற்றும் எண்ணிக்கை 15 ஆக இருக்கும்போது, eq 15 = 1. 16 அல்லது அதற்கு மேற்பட்ட எண்ணிக்கை மதிப்புகளுக்கான டிகோட் செய்யப்பட்ட வெளியீடு வெளிப்புற டிகோடிங் தேவைப்படுகிறது. eq[15..0] வெளியீடுகள் q[] வெளியீட்டிற்கு ஒத்திசைவற்றவை.
கூட்
இல்லை
கவுண்டரின் MSB பிட்டின் கேரி-அவுட் போர்ட். ஒரு பெரிய கவுண்டரை உருவாக்க மற்றொரு கவுண்டருடன் இணைக்க இதைப் பயன்படுத்தலாம்.
2.6. அளவுருக்கள்
பின்வரும் அட்டவணை LPM_COUNTER IP மையத்திற்கான அளவுருக்களை பட்டியலிடுகிறது.
அட்டவணை 4.
LPM_COUNTER அளவுருக்கள்
அளவுரு பெயர்
வகை
LPM_WIDTH
முழு எண்
LPM_DIRECTION
சரம்
LPM_MODULUS LPM_AVALUE
முழு எண்
முழு எண்/ சரம்
LPM_SVALUE LPM_HINT
முழு எண்/ சரம்
சரம்
LPM_TYPE
சரம்
தேவை ஆம் இல்லை இல்லை இல்லை இல்லை
இல்லை இல்லை
இல்லை
விளக்கம்
தரவு[] மற்றும் q[] போர்ட்கள் பயன்படுத்தப்பட்டால், அவற்றின் அகலங்களைக் குறிப்பிடுகிறது.
மதிப்புகள் மேல், கீழ் மற்றும் பயன்படுத்தப்படாதவை. LPM_DIRECTION அளவுரு பயன்படுத்தப்பட்டால், அப்டவுன் போர்ட்டை இணைக்க முடியாது. அப்டவுன் போர்ட் இணைக்கப்படாதபோது, LPM_DIRECTION அளவுரு இயல்புநிலை மதிப்பு UP ஆக இருக்கும்.
அதிகபட்ச எண்ணிக்கை, பிளஸ் ஒன். கவுண்டரின் சுழற்சியில் உள்ள தனிப்பட்ட நிலைகளின் எண்ணிக்கை. ஏற்ற மதிப்பு LPM_MODULUS அளவுருவை விட பெரியதாக இருந்தால், கவுண்டரின் நடத்தை குறிப்பிடப்படவில்லை.
சொத்து அதிகமாக இருக்கும் போது ஏற்றப்படும் நிலையான மதிப்பு. குறிப்பிடப்பட்ட மதிப்பு பெரியதாகவோ அல்லது அதற்கு சமமாகவோ இருந்தால் , கவுண்டரின் நடத்தை வரையறுக்கப்படாத (X) தர்க்க நிலை, எங்கே இருந்தால் LPM_MODULUS, அல்லது 2 ^ LPM_WIDTH. AHDL வடிவமைப்புகளுக்கு இந்த மதிப்பை தசம எண்ணாகக் குறிப்பிடுமாறு இன்டெல் பரிந்துரைக்கிறது.
செட் போர்ட் அதிகமாக இருக்கும் போது கடிகார போர்ட்டின் உயரும் விளிம்பில் ஏற்றப்படும் நிலையான மதிப்பு. இந்த மதிப்பை AHDL வடிவமைப்புகளுக்கு தசம எண்ணாகக் குறிப்பிடுமாறு Intel பரிந்துரைக்கிறது.
நீங்கள் VHDL வடிவமைப்பில் அளவுருக்கள் கொண்ட தொகுதிகள் (LPM) செயல்பாட்டின் நூலகத்தை உடனடியாக உருவாக்கும்போது File (.vhd), இன்டெல்-குறிப்பிட்ட அளவுருவைக் குறிப்பிட நீங்கள் LPM_HINT அளவுருவைப் பயன்படுத்த வேண்டும். உதாரணமாகample: LPM_HINT = “CHAIN_SIZE = 8, ONE_INPUT_IS_CONSTANT = ஆம்”
இயல்புநிலை மதிப்பு பயன்படுத்தப்படவில்லை.
VHDL வடிவமைப்பில் உள்ள அளவுருப்படுத்தப்பட்ட தொகுதிகளின் நூலகத்தை (LPM) அடையாளம் காட்டுகிறது files.
தொடர்ந்தது…
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 10
கருத்தை அனுப்பவும்
2. LPM_COUNTER (கவுண்டர்) IP கோர் 683490 | 2020.10.05
அளவுரு பெயர் INTENDED_DEVICE_FAMILY CARRY_CNT_EN
LABWIDE_SCLR
LPM_PORT_UPDOWN
சரம் சரம் என தட்டச்சு செய்யவும்
சரம்
சரம்
தேவையான எண்
இல்லை
இல்லை
விளக்கம்
இந்த அளவுரு மாடலிங் மற்றும் நடத்தை உருவகப்படுத்துதல் நோக்கங்களுக்காக பயன்படுத்தப்படுகிறது. இந்த அளவுரு மாடலிங் மற்றும் நடத்தை உருவகப்படுத்துதல் நோக்கங்களுக்காக பயன்படுத்தப்படுகிறது. அளவுரு எடிட்டர் இந்த அளவுருவின் மதிப்பைக் கணக்கிடுகிறது.
இன்டெல்-குறிப்பிட்ட அளவுரு. VHDL வடிவமைப்பில் CARRY_CNT_EN அளவுருவைக் குறிப்பிட நீங்கள் LPM_HINT அளவுருவைப் பயன்படுத்த வேண்டும் fileகள். மதிப்புகள் ஸ்மார்ட், ஆன், ஆஃப் மற்றும் பயன்படுத்தப்படாதவை. கேரி செயின் மூலம் cnt_en சிக்னலைப் பரப்புவதற்கு LPM_COUNTER செயல்பாட்டை இயக்குகிறது. சில சந்தர்ப்பங்களில், CARRY_CNT_EN அளவுரு அமைப்பு வேகத்தில் சிறிது தாக்கத்தை ஏற்படுத்தக்கூடும், எனவே நீங்கள் அதை அணைக்க விரும்பலாம். இயல்புநிலை மதிப்பு SMART ஆகும், இது அளவு மற்றும் வேகத்திற்கு இடையே சிறந்த வர்த்தகத்தை வழங்குகிறது.
இன்டெல்-குறிப்பிட்ட அளவுரு. VHDL வடிவமைப்பில் LABWIDE_SCLR அளவுருவைக் குறிப்பிட நீங்கள் LPM_HINT அளவுருவைப் பயன்படுத்த வேண்டும் fileகள். மதிப்புகள் ஆன், ஆஃப் அல்லது பயன்படுத்தப்படாதவை. இயல்புநிலை மதிப்பு இயக்கத்தில் உள்ளது. வழக்கற்றுப் போன சாதனக் குடும்பங்களில் காணப்படும் LABwide sclr அம்சத்தைப் பயன்படுத்துவதை முடக்க உங்களை அனுமதிக்கிறது. இந்த விருப்பத்தை முடக்குவது, பகுதியளவு நிரப்பப்பட்ட LABகளை முழுமையாகப் பயன்படுத்துவதற்கான வாய்ப்புகளை அதிகரிக்கிறது, மேலும் SCLR முழுமையான LABக்கு பொருந்தாதபோது அதிக லாஜிக் அடர்த்தியை அனுமதிக்கலாம். இந்த அளவுரு பின்தங்கிய இணக்கத்தன்மைக்கு கிடைக்கிறது, மேலும் இந்த அளவுருவைப் பயன்படுத்த வேண்டாம் என்று இன்டெல் பரிந்துரைக்கிறது.
அப்டவுன் இன்புட் போர்ட்டின் பயன்பாட்டைக் குறிப்பிடுகிறது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு PORT_CONNECTIVITY ஆகும். போர்ட் மதிப்பு PORT_USED என அமைக்கப்பட்டால், போர்ட் பயன்படுத்தப்பட்டதாகக் கருதப்படுகிறது. போர்ட் மதிப்பு PORT_UNUSED என அமைக்கப்பட்டால், போர்ட் பயன்படுத்தப்படாததாகக் கருதப்படுகிறது. போர்ட் மதிப்பு PORT_CONNECTIVITY என அமைக்கப்பட்டால், போர்ட் இணைப்பைச் சரிபார்த்து போர்ட் உபயோகம் தீர்மானிக்கப்படும்.
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 11
683490 | 2020.10.05 கருத்தை அனுப்பவும்
3. LPM_DIVIDE (டிவைடர்) Intel FPGA IP கோர்
படம் 2.
LPM_DIVIDE Intel FPGA IP கோர், ஒரு தொகுதி உள்ளீட்டு மதிப்பை ஒரு பகுதி உள்ளீட்டு மதிப்பால் வகுக்க ஒரு பிரிப்பானை செயல்படுத்துகிறது.
பின்வரும் படம் LPM_DIVIDE IP மையத்திற்கான போர்ட்களைக் காட்டுகிறது.
LPM_DIVIDE போர்ட்கள்
LPM_DIVIDE
எண்[] denom[] கடிகாரம்
அளவு[] எஞ்சியிருக்கும்[]
clken aclr
inst
3.1 அம்சங்கள்
LPM_DIVIDE IP மையமானது பின்வரும் அம்சங்களை வழங்குகிறது: · ஒரு வகுப்பியை உருவாக்குகிறது, இது ஒரு எண் உள்ளீட்டு மதிப்பை வகுக்கும் உள்ளீட்டால் பிரிக்கிறது
ஒரு பங்கு மற்றும் மீதியை உருவாக்கும் மதிப்பு. · 1 பிட்களின் தரவு அகலத்தை ஆதரிக்கிறது. · இரண்டு எண்களுக்கும் கையொப்பமிடப்பட்ட மற்றும் கையொப்பமிடப்படாத தரவு பிரதிநிதித்துவ வடிவமைப்பை ஆதரிக்கிறது
மற்றும் வகுத்தல் மதிப்புகள். · பகுதி அல்லது வேக தேர்வுமுறையை ஆதரிக்கிறது. · நேர்மறை மீதமுள்ள வெளியீட்டைக் குறிப்பிடுவதற்கான விருப்பத்தை வழங்குகிறது. பைப்லைனிங் கட்டமைக்கக்கூடிய வெளியீட்டு தாமதத்தை ஆதரிக்கிறது. · விருப்ப ஒத்திசைவற்ற தெளிவான மற்றும் கடிகாரத்தை இயக்கும் போர்ட்களை ஆதரிக்கிறது.
3.2 வெரிலாக் HDL முன்மாதிரி
பின்வரும் வெரிலாக் எச்டிஎல் முன்மாதிரி வெரிலாக் டிசைனில் உள்ளது File (.v) lpm.v இல் edasynthesis அடைவு.
தொகுதி lpm_divide ( quotient, stay, numer, denom, clock, clken, aclr); அளவுரு lpm_type = "lpm_divide"; அளவுரு lpm_widthn = 1; அளவுரு lpm_widthd = 1; அளவுரு lpm_nrepresentation = "UNSIGNED"; அளவுரு lpm_drepresentation = “கையொப்பமிடப்படவில்லை”; அளவுரு lpm_remainderpositive = "TRUE"; அளவுரு lpm_pipeline = 0;
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
ISO 9001:2015 பதிவு செய்யப்பட்டது
3. LPM_DIVIDE (டிவைடர்) Intel FPGA IP கோர் 683490 | 2020.10.05
அளவுரு lpm_hint = "பயன்படுத்தப்படாதது"; உள்ளீடு கடிகாரம்; உள்ளீடு clken; உள்ளீடு acr; உள்ளீடு [lpm_widthn-1:0] எண்; உள்ளீடு [lpm_widthd-1:0] denom; வெளியீடு [lpm_widthn-1:0] அளவு; வெளியீடு [lpm_widthd-1:0] இருக்கும்; இறுதி தொகுதி
3.3 VHDL கூறு அறிவிப்பு
VHDL கூறு அறிவிப்பு VHDL வடிவமைப்பில் அமைந்துள்ளது File (.vhd) LPM_PACK.vhd இல் நூலகங்கள்vhdllpm அடைவு.
கூறு LPM_DIVIDE பொதுவான (LPM_WIDTHN: இயற்கை; LPM_WIDTHD: இயற்கை;
LPM_NREPRESENTATION : சரம் := “கையொப்பமிடப்படாதது”; LPM_DREPRESENTATION : சரம் := “கையொப்பமிடப்படாதது”; LPM_PIPELINE : இயற்கை := 0; LPM_TYPE : சரம் := L_DIVIDE; LPM_HINT : சரம் := “பயன்படுத்தப்படாதது”); போர்ட் (NUMER : std_logic_vector இல் (LPM_WIDTHN-1 கீழ்நோக்கி 0); DENOM: std_logic_vector இல் (LPM_WIDTHD-1 கீழ்நோக்கி 0); ACLR: std_logic:= '0'; CLOCK : stdlogic இல் := 'K := '0' இறுதி கூறு;
3.4 VHDL LIBRARY_USE பிரகடனம்
நீங்கள் VHDL உபகரணப் பிரகடனத்தைப் பயன்படுத்தினால், VHDL லைப்ரரி-பயன்பாட்டு அறிவிப்பு தேவையில்லை.
லைப்ரரி எல்பிஎம்; lpm.lpm_components.all பயன்படுத்தவும்;
3.5 துறைமுகங்கள்
பின்வரும் அட்டவணைகள் LPM_DIVIDE IP மையத்திற்கான உள்ளீடு மற்றும் வெளியீட்டு போர்ட்களை பட்டியலிடுகிறது.
அட்டவணை 5.
LPM_DIVIDE உள்ளீட்டு போர்ட்கள்
துறைமுக பெயர்
தேவை
எண்[]
ஆம்
மதம்[]
ஆம்
விளக்கம்
எண் தரவு உள்ளீடு. உள்ளீட்டு போர்ட்டின் அளவு LPM_WIDTHN அளவுரு மதிப்பைப் பொறுத்தது.
வகுத்தல் தரவு உள்ளீடு. உள்ளீட்டு போர்ட்டின் அளவு LPM_WIDTHD அளவுரு மதிப்பைப் பொறுத்தது.
தொடர்ந்தது…
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 13
3. LPM_DIVIDE (டிவைடர்) Intel FPGA IP கோர் 683490 | 2020.10.05
போர்ட் பெயர் கடிகாரம் clken
aclr
தேவையான எண்
இல்லை
விளக்கம்
பைப்லைன் பயன்பாட்டிற்கான கடிகார உள்ளீடு. 0 (இயல்புநிலை) தவிர மற்ற LPM_PIPELINE மதிப்புகளுக்கு, கடிகார போர்ட் இயக்கப்பட்டிருக்க வேண்டும்.
கடிகாரம் பைப்லைன் உபயோகத்தை செயல்படுத்துகிறது. கிளென் துறைமுகம் உயர்வாக இருக்கும் போது, பிரிவு செயல்பாடு நடைபெறுகிறது. சிக்னல் குறைவாக இருக்கும் போது, எந்த செயல்பாடும் ஏற்படாது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 1 ஆகும்.
கடிகார உள்ளீட்டிற்கு ஒத்திசைவற்ற முறையில் அனைத்து '0'களுக்கும் பைப்லைனை மீட்டமைக்க எந்த நேரத்திலும் ஒத்திசைவற்ற தெளிவான போர்ட் பயன்படுத்தப்படுகிறது.
அட்டவணை 6.
LPM_DIVIDE அவுட்புட் போர்ட்கள்
துறைமுக பெயர்
தேவை
விளக்கம்
அளவுகோல்[]
ஆம்
தரவு வெளியீடு. வெளியீடு போர்ட்டின் அளவு LPM_WIDTHN ஐப் பொறுத்தது
அளவுரு மதிப்பு.
இருங்கள்[]
ஆம்
தரவு வெளியீடு. வெளியீடு போர்ட்டின் அளவு LPM_WIDTHD ஐப் பொறுத்தது
அளவுரு மதிப்பு.
3.6. அளவுருக்கள்
பின்வரும் அட்டவணை LPM_DIVIDE Intel FPGA IP மையத்திற்கான அளவுருக்களை பட்டியலிடுகிறது.
அளவுரு பெயர்
வகை
தேவை
விளக்கம்
LPM_WIDTHN
முழு எண்
ஆம்
எண்ணின் அகலங்களைக் குறிப்பிடுகிறது[] மற்றும்
பங்கு [] துறைமுகங்கள். மதிப்புகள் 1 முதல் 64 வரை.
LPM_WIDTHD
முழு எண்
ஆம்
டெனோமின் அகலங்களைக் குறிப்பிடுகிறது[] மற்றும்
[] துறைமுகங்கள் இருக்கும். மதிப்புகள் 1 முதல் 64 வரை.
LPM_NREPRESENTATION LPM_DREPRESENTATION
சரம் சரம்
இல்லை
எண் உள்ளீட்டின் அடையாளப் பிரதிநிதித்துவம்.
மதிப்புகள் கையொப்பமிடப்பட்டவை மற்றும் கையெழுத்திடப்படாதவை. இந்த போது
அளவுரு கையொப்பமிடப்பட்டது, பிரிப்பான்
எண்[] உள்ளீட்டை கையொப்பமிடப்பட்ட இரண்டாக விளக்குகிறது
நிரப்பு.
இல்லை
வகுத்தல் உள்ளீட்டின் அடையாளப் பிரதிநிதித்துவம்.
மதிப்புகள் கையொப்பமிடப்பட்டவை மற்றும் கையெழுத்திடப்படாதவை. இந்த போது
அளவுரு கையொப்பமிடப்பட்டது, பிரிப்பான்
டெனோம்[] உள்ளீட்டை கையொப்பமிடப்பட்ட இரண்டாக விளக்குகிறது
நிரப்பு.
LPM_TYPE
சரம்
இல்லை
அளவுருவின் நூலகத்தை அடையாளம் காட்டுகிறது
VHDL வடிவமைப்பில் தொகுதிகள் (LPM) நிறுவனத்தின் பெயர்
files (.vhd).
LPM_HINT
சரம்
இல்லை
நீங்கள் ஒரு நூலகத்தை நிறுவும்போது
அளவுருப்படுத்தப்பட்ட தொகுதிகள் (LPM) செயல்பாடு a
VHDL வடிவமைப்பு File (.vhd), நீங்கள் பயன்படுத்த வேண்டும்
Intel-ஐக் குறிப்பிட LPM_HINT அளவுரு
குறிப்பிட்ட அளவுரு. உதாரணமாகample: LPM_HINT
= “CHAIN_SIZE = 8,
ONE_INPUT_IS_CONSTANT = ஆம்” தி
இயல்புநிலை மதிப்பு பயன்படுத்தப்படவில்லை.
LPM_REMAINDERPOSITIVE
சரம்
இல்லை
இன்டெல்-குறிப்பிட்ட அளவுரு. நீங்கள் பயன்படுத்த வேண்டும்
குறிப்பிடுவதற்கு LPM_HINT அளவுரு
LPM_REMAINDERPOSITIVE அளவுரு இல்
VHDL வடிவமைப்பு fileகள். மதிப்புகள் TRUE அல்லது FALSE.
இந்த அளவுரு TRUE என அமைக்கப்பட்டால், தி
மீதமுள்ள[] துறைமுகத்தின் மதிப்பு அதிகமாக இருக்க வேண்டும்
தொடர்ந்தது…
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 14
கருத்தை அனுப்பவும்
3. LPM_DIVIDE (டிவைடர்) Intel FPGA IP கோர் 683490 | 2020.10.05
அளவுரு பெயர்
வகை
MAXIMIZE_SPEED
முழு எண்
LPM_PIPELINE
முழு எண்
INTENDED_DEVICE_FAMILY SKIP_BITS
சரம் முழு எண்
தேவையான எண்
இல்லை இல்லை இல்லை
விளக்கம்
பூஜ்ஜியத்தை விட அல்லது சமம். இந்த அளவுரு TRUE என அமைக்கப்பட்டால், மீதமுள்ள[] போர்ட்டின் மதிப்பு பூஜ்ஜியமாக இருக்கும் அல்லது எண் போர்ட்டின் மதிப்பின் மதிப்பு நேர்மறை அல்லது எதிர்மறையான அதே அடையாளமாக இருக்கும். பரப்பளவைக் குறைப்பதற்கும் வேகத்தை மேம்படுத்துவதற்கும், இன்டெல் இந்த அளவுருவை TRUE என அமைக்க பரிந்துரைக்கிறது, மீதமுள்ளவை நேர்மறையாக இருக்க வேண்டும் அல்லது மீதமுள்ளவை முக்கியமில்லாதவை.
இன்டெல்-குறிப்பிட்ட அளவுரு. VHDL வடிவமைப்பில் MAXIMIZE_SPEED அளவுருவைக் குறிப்பிட நீங்கள் LPM_HINT அளவுருவைப் பயன்படுத்த வேண்டும் fileகள். மதிப்புகள் [0..9]. பயன்படுத்தினால், Intel Quartus Prime மென்பொருளானது, LPM_DIVIDE செயல்பாட்டின் ஒரு குறிப்பிட்ட நிகழ்வை ரூட்டபிலிட்டிக்கு பதிலாக வேகத்திற்கு மேம்படுத்த முயற்சிக்கிறது, மேலும் உகப்பாக்கம் டெக்னிக் லாஜிக் விருப்பத்தின் அமைப்பை மீறுகிறது. MAXIMIZE_SPEED பயன்படுத்தப்படவில்லை எனில், அதற்குப் பதிலாக மேம்படுத்தல் நுட்ப விருப்பத்தின் மதிப்பு பயன்படுத்தப்படும். MAXIMIZE_SPEED இன் மதிப்பு 6 அல்லது அதற்கும் அதிகமாக இருந்தால், கேரி செயின்களைப் பயன்படுத்தி கம்பைலர் அதிக வேகத்திற்கு LPM_DIVIDE IP மையத்தை மேம்படுத்துகிறது; மதிப்பு 5 அல்லது அதற்கும் குறைவாக இருந்தால், கம்பைலர் கேரி செயின்கள் இல்லாமல் வடிவமைப்பை செயல்படுத்துகிறது.
குறிப்பீடு[] மற்றும் மீதமுள்ள[] வெளியீடுகளுடன் தொடர்புடைய தாமதத்தின் கடிகார சுழற்சிகளின் எண்ணிக்கையைக் குறிப்பிடுகிறது. பூஜ்ஜியத்தின் (0) மதிப்பு, தாமதம் இல்லை என்பதையும், முற்றிலும் கூட்டுச் செயல்பாடு உடனடியாகச் செயல்படுத்தப்படுகிறது என்பதையும் குறிக்கிறது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 0 (பைப்லைன் இல்லாதது). LPM_WIDTHN ஐ விட அதிகமாக இருக்கும் LPM_PIPELINE அளவுருக்கான மதிப்பை உங்களால் குறிப்பிட முடியாது.
இந்த அளவுரு மாடலிங் மற்றும் நடத்தை உருவகப்படுத்துதல் நோக்கங்களுக்காக பயன்படுத்தப்படுகிறது. அளவுரு எடிட்டர் இந்த அளவுருவின் மதிப்பைக் கணக்கிடுகிறது.
LPM_DIVIDE IP மையத்திற்கு முன்னணி GND இன் எண்ணிக்கையை வழங்குவதன் மூலம் முன்னணி பிட்களில் தர்க்கத்தை மேம்படுத்துவதற்கு மிகவும் திறமையான பகுதியளவு பிட் பிரிவை அனுமதிக்கிறது. இந்த அளவுருவின் அளவு வெளியீட்டில் முன்னணி GND இன் எண்ணிக்கையைக் குறிப்பிடவும்.
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 15
683490 | 2020.10.05 கருத்தை அனுப்பவும்
4. LPM_MULT (பெருக்கி) IP கோர்
படம் 3.
LPM_MULT IP மையமானது ஒரு தயாரிப்பை வெளியீடாக உருவாக்க இரண்டு உள்ளீட்டு தரவு மதிப்புகளை பெருக்க ஒரு பெருக்கியை செயல்படுத்துகிறது.
பின்வரும் படம் LPM_MULT IP மையத்திற்கான போர்ட்களைக் காட்டுகிறது.
LPM_Mult துறைமுகங்கள்
LPM_MULT கடிகார தரவு[] முடிவு[] datab[] aclr/sclr clken
inst
பக்கம் 71 இல் தொடர்புடைய தகவல் அம்சங்கள்
4.1 அம்சங்கள்
LPM_MULT IP மையமானது பின்வரும் அம்சங்களை வழங்குகிறது: · இரண்டு உள்ளீட்டு தரவு மதிப்புகளைப் பெருக்கும் பெருக்கியை உருவாக்குகிறது · 1 பிட்களின் தரவு அகலத்தை ஆதரிக்கிறது · கையொப்பமிடப்பட்ட மற்றும் கையொப்பமிடப்படாத தரவு பிரதிநிதித்துவ வடிவமைப்பை ஆதரிக்கிறது · பகுதி அல்லது வேக மேம்படுத்தலை ஆதரிக்கிறது · கட்டமைக்கக்கூடிய வெளியீட்டு லேட்டன்சியுடன் பைப்லைனிங்கை ஆதரிக்கிறது அர்ப்பணிக்கப்பட்ட டிஜிட்டல் சிக்னல் செயலாக்கத்தில் (டிஎஸ்பி) செயல்படுத்துவதற்கான விருப்பம்
பிளாக் சர்க்யூட்ரி அல்லது லாஜிக் உறுப்புகள் (LEs) குறிப்பு: பூர்வீகமாக ஆதரிக்கப்படும் அளவை விட பெரிய பெருக்கிகளை உருவாக்கும்போது/
DSP தொகுதிகளின் அடுக்கின் விளைவாக செயல்திறன் தாக்கமாக இருக்கும். · விருப்ப ஒத்திசைவற்ற தெளிவான மற்றும் கடிகாரத்தை உள்ளீடு போர்ட்களை இயக்குகிறது · Intel Stratix 10, Intel Arria 10 மற்றும் Intel Cyclone 10 GX சாதனங்களுக்கான விருப்ப ஒத்திசைவான தெளிவை ஆதரிக்கிறது
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
ISO 9001:2015 பதிவு செய்யப்பட்டது
4. LPM_MULT (பெருக்கி) IP கோர் 683490 | 2020.10.05
4.2 வெரிலாக் HDL முன்மாதிரி
பின்வரும் வெரிலாக் எச்டிஎல் முன்மாதிரி வெரிலாக் டிசைனில் உள்ளது File (.v) lpm.v இல் edasynthesis அடைவு.
தொகுதி lpm_mult (முடிவு, தரவு, தரவு, தொகை, கடிகாரம், clken, aclr ) அளவுரு lpm_type = "lpm_mult"; அளவுரு lpm_widtha = 1; அளவுரு lpm_widthb = 1; அளவுரு lpm_widths = 1; அளவுரு lpm_widthp = 1; அளவுரு lpm_representation = "UNSIGNED"; அளவுரு lpm_pipeline = 0; அளவுரு lpm_hint = "பயன்படுத்தப்படாதது"; உள்ளீடு கடிகாரம்; உள்ளீடு clken; உள்ளீடு acr; உள்ளீடு [lpm_widtha-1:0] தரவு; உள்ளீடு [lpm_widthb-1:0] datab; உள்ளீடு [lpm_widths-1:0] தொகை; வெளியீடு [lpm_widthp-1:0] முடிவு; இறுதி தொகுதி
4.3 VHDL கூறு அறிவிப்பு
VHDL கூறு அறிவிப்பு VHDL வடிவமைப்பில் அமைந்துள்ளது File (.vhd) LPM_PACK.vhd இல் நூலகங்கள்vhdllpm அடைவு.
கூறு LPM_MULT பொதுவான (LPM_WIDTHA: இயற்கை; LPM_WIDTHB: இயற்கை; LPM_WIDTHS: இயற்கை:= 1; LPM_WIDTHP: இயற்கை;
LPM_REPRESENTATION : சரம் := “கையொப்பமிடப்படாதது”; LPM_PIPELINE : இயற்கை := 0; LPM_TYPE: சரம் := L_MULT; LPM_HINT : சரம் := “பயன்படுத்தப்படாதது”); போர்ட் (DATAA: std_logic_vector இல் (LPM_WIDTHA-1 கீழ்நோக்கி 0 வரை); DATAB: std_logic_vector இல் (LPM_WIDTHB-1 கீழ்நோக்கி 0); ACLR: std_logic:= '0'; CLOCK : stdlogic இல் := '0'; SUM: std_logic_vector (LPM_WIDTHS-1 கீழ்நோக்கி 1) := (OTHERS => '0') இறுதி கூறு;
4.4 VHDL LIBRARY_USE பிரகடனம்
நீங்கள் VHDL உபகரணப் பிரகடனத்தைப் பயன்படுத்தினால், VHDL லைப்ரரி-பயன்பாட்டு அறிவிப்பு தேவையில்லை.
லைப்ரரி எல்பிஎம்; lpm.lpm_components.all பயன்படுத்தவும்;
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 17
4. LPM_MULT (பெருக்கி) IP கோர் 683490 | 2020.10.05
4.5 சிக்னல்கள்
அட்டவணை 7.
LPM_MULT உள்ளீட்டு சமிக்ஞைகள்
சிக்னல் பெயர்
தேவை
விளக்கம்
தரவு[]
ஆம்
தரவு உள்ளீடு.
Intel Stratix 10, Intel Arria 10 மற்றும் Intel Cyclone 10 GX சாதனங்களுக்கு, உள்ளீட்டு சமிக்ஞையின் அளவு Dataa அகல அளவுரு மதிப்பைப் பொறுத்தது.
பழைய மற்றும் Intel Cyclone 10 LP சாதனங்களுக்கு, உள்ளீட்டு சமிக்ஞையின் அளவு LPM_WIDTHA அளவுரு மதிப்பைப் பொறுத்தது.
தரவுத்தளம்[]
ஆம்
தரவு உள்ளீடு.
Intel Stratix 10, Intel Arria 10 மற்றும் Intel Cyclone 10 GX சாதனங்களுக்கு, உள்ளீட்டு சமிக்ஞையின் அளவு Datab அகல அளவுரு மதிப்பைப் பொறுத்தது.
பழைய மற்றும் Intel Cyclone 10 LP சாதனங்களுக்கு, உள்ளீட்டு சமிக்ஞையின் அளவு சார்ந்துள்ளது
LPM_WIDTHB அளவுரு மதிப்பில்.
கடிகாரம்
இல்லை
பைப்லைன் பயன்பாட்டிற்கான கடிகார உள்ளீடு.
பழைய மற்றும் Intel Cyclone 10 LP சாதனங்களுக்கு, 0 (இயல்புநிலை) தவிர LPM_PIPELINE மதிப்புகளுக்கு கடிகார சமிக்ஞை இயக்கப்பட்டிருக்க வேண்டும்.
Intel Stratix 10, Intel Arria 10, மற்றும் Intel Cyclone 10 GX சாதனங்களுக்கு, Latency value 1 (இயல்புநிலை) தவிர வேறு இருந்தால் கடிகார சமிக்ஞை இயக்கப்பட வேண்டும்.
clken
இல்லை
பைப்லைன் பயன்பாட்டிற்கு கடிகாரத்தை இயக்கவும். clken சமிக்ஞை அதிகமாக வலியுறுத்தப்படும் போது, தி
சேர்/கழிப்பான் செயல்பாடு நடைபெறுகிறது. சிக்னல் குறைவாக இருக்கும்போது, ஆபரேஷன் இல்லை
ஏற்படுகிறது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 1 ஆகும்.
aclr sclr
இல்லை
பைப்லைனை அனைத்து 0 வினாக்களுக்கும் மீட்டமைக்க எந்த நேரத்திலும் ஒத்திசைவற்ற தெளிவான சமிக்ஞை பயன்படுத்தப்படுகிறது,
கடிகார சமிக்ஞைக்கு ஒத்திசைவற்ற முறையில். பைப்லைன் வரையறுக்கப்படாத (X) க்கு தொடங்குகிறது
தர்க்க நிலை. வெளியீடுகள் சீரான, ஆனால் பூஜ்ஜியமற்ற மதிப்பு.
இல்லை
பைப்லைனை அனைத்து 0 வினாடிகளுக்கும் மீட்டமைக்க எந்த நேரத்திலும் ஒத்திசைவான தெளிவான சமிக்ஞை பயன்படுத்தப்படுகிறது,
கடிகார சமிக்ஞைக்கு ஒத்திசைவாக. பைப்லைன் வரையறுக்கப்படாத (X) க்கு தொடங்குகிறது
தர்க்க நிலை. வெளியீடுகள் சீரான, ஆனால் பூஜ்ஜியமற்ற மதிப்பு.
அட்டவணை 8.
LPM_MULT வெளியீட்டு சமிக்ஞைகள்
சமிக்ஞை பெயர்
தேவை
விளக்கம்
விளைவாக[]
ஆம்
தரவு வெளியீடு.
பழைய மற்றும் Intel Cyclone 10 LP சாதனங்களுக்கு, வெளியீட்டு சமிக்ஞையின் அளவு LPM_WIDTHP அளவுரு மதிப்பைப் பொறுத்தது. LPM_WIDTHP <அதிகபட்சம் (LPM_WIDTHA + LPM_WIDTHB, LPM_WIDTHS) அல்லது (LPM_WIDTHA + LPM_WIDTHS), LPM_WIDTHP MSBகள் மட்டுமே உள்ளன.
Intel Stratix 10, Intel Arria 10 மற்றும் Intel Cyclone 10 GX ஆகியவற்றுக்கு, வெளியீட்டு சமிக்ஞைகளின் அளவு முடிவு அகல அளவுருவைப் பொறுத்தது.
4.6 ஸ்ட்ராடிக்ஸ் வி, அர்ரியா வி, சைக்ளோன் வி மற்றும் இன்டெல் சைக்ளோன் 10 எல்பி சாதனங்களுக்கான அளவுருக்கள்
4.6.1. பொது தாவல்
அட்டவணை 9.
பொது தாவல்
அளவுரு
மதிப்பு
பெருக்கி கட்டமைப்பு
'data' உள்ளீட்டை 'datab' உள்ளீட்டால் பெருக்கவும்
இயல்புநிலை மதிப்பு
விளக்கம்
'data' உள்ளீட்டை 'datab' உள்ளீட்டால் பெருக்கவும்
பெருக்கிக்கு தேவையான உள்ளமைவைத் தேர்ந்தெடுக்கவும்.
தொடர்ந்தது…
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 18
கருத்தை அனுப்பவும்
4. LPM_MULT (பெருக்கி) IP கோர் 683490 | 2020.10.05
அளவுரு
'டேட்டா' உள்ளீடு எவ்வளவு அகலமாக இருக்க வேண்டும்? 'டேட்டாப்' உள்ளீடு எவ்வளவு அகலமாக இருக்க வேண்டும்? 'முடிவு' வெளியீட்டின் அகலத்தை எவ்வாறு தீர்மானிக்க வேண்டும்? அகலத்தை கட்டுப்படுத்துங்கள்
மதிப்பு
'dataa' உள்ளீட்டை தானே பெருக்கவும் (சதுர செயல்பாடு)
1 - 256 பிட்கள்
இயல்புநிலை மதிப்பு
விளக்கம்
8 பிட்கள்
டேட்டா[] போர்ட்டின் அகலத்தைக் குறிப்பிடவும்.
1 - 256 பிட்கள்
8 பிட்கள்
டேட்டாப்[] போர்ட்டின் அகலத்தைக் குறிப்பிடவும்.
தானாக அகலத்தைக் கணக்கிடுங்கள் அகலத்தைக் கட்டுப்படுத்துங்கள்
1 - 512 பிட்கள்
தானாக y அகலத்தைக் கணக்கிடுங்கள்
முடிவு[] போர்ட்டின் அகலத்தை தீர்மானிக்க விரும்பிய முறையைத் தேர்ந்தெடுக்கவும்.
16 பிட்கள்
முடிவு[] போர்ட்டின் அகலத்தைக் குறிப்பிடவும்.
வகை அளவுருவில் அகலத்தைக் கட்டுப்படுத்து என்பதைத் தேர்ந்தெடுத்தால் மட்டுமே இந்த மதிப்பு பயனுள்ளதாக இருக்கும்.
4.6.2. பொது 2 தாவல்
அட்டவணை 10. பொது 2 தாவல்
அளவுரு
மதிப்பு
தரவு உள்ளீடு
'டேட்டாப்' உள்ளீட்டு பேருந்திற்கு நிலையான மதிப்பு உள்ளதா?
இல்லை ஆம்
பெருக்கல் வகை
எந்த வகை
கையொப்பமிடவில்லை
உங்களுக்கு பெருக்கல் வேண்டுமா? கையெழுத்திட்டது
செயல்படுத்தல்
எந்தப் பெருக்கி செயல்படுத்தலைப் பயன்படுத்த வேண்டும்?
இயல்புநிலை செயலாக்கத்தைப் பயன்படுத்தவும்
பிரத்யேக மல்டிப்ளையர் சர்க்யூட்ரியைப் பயன்படுத்தவும் (அனைத்து குடும்பங்களுக்கும் கிடைக்காது)
தர்க்க கூறுகளைப் பயன்படுத்தவும்
இயல்புநிலை மதிப்பு
விளக்கம்
இல்லை
இன் நிலையான மதிப்பைக் குறிப்பிட ஆம் என்பதைத் தேர்ந்தெடுக்கவும்
`டேட்டாப்' உள்ளீடு பஸ், ஏதேனும் இருந்தால்.
கையொப்பமிடவில்லை
டேட்டா[] மற்றும் டேட்டாப்[] உள்ளீடுகள் இரண்டிற்கும் பிரதிநிதித்துவ வடிவமைப்பைக் குறிப்பிடவும்.
இயல்புநிலை செயலாக்க அயனியைப் பயன்படுத்தவும்
முடிவு[] போர்ட்டின் அகலத்தை தீர்மானிக்க விரும்பிய முறையைத் தேர்ந்தெடுக்கவும்.
4.6.3. பைப்லைனிங் தாவல்
அட்டவணை 11. பைப்லைனிங் தாவல்
அளவுரு
எண்ணை பைப்லைன் செய்ய வேண்டுமா?
செயல்பாடு?
ஆம்
மதிப்பு
ஒரு 'aclr' ஐ உருவாக்கவும்
—
ஒத்திசைவற்ற தெளிவான துறைமுகம்
இயல்புநிலை மதிப்பு
விளக்கம்
இல்லை
பைப்லைன் பதிவேட்டை இயக்க ஆம் என்பதைத் தேர்ந்தெடுக்கவும்
பெருக்கியின் வெளியீடு மற்றும் விரும்பியதைக் குறிப்பிடவும்
கடிகார சுழற்சியில் வெளியீடு தாமதம். செயல்படுத்துகிறது
குழாய் பதிவேடு கூடுதல் தாமதத்தை சேர்க்கிறது
வெளியீடு.
தேர்வு செய்யப்படவில்லை
பைப்லைன் பதிவேட்டில் ஒத்திசைவற்ற தெளிவைப் பயன்படுத்த aclr போர்ட்டை இயக்க இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும்.
தொடர்ந்தது…
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 19
4. LPM_MULT (பெருக்கி) IP கோர் 683490 | 2020.10.05
அளவுரு
ஒரு 'clken' கடிகாரத்தை இயக்கு கடிகாரத்தை உருவாக்கவும்
உகப்பாக்கம்
நீங்கள் எந்த வகையான மேம்படுத்தலை விரும்புகிறீர்கள்?
மதிப்பு -
இயல்புநிலை வேகப் பகுதி
இயல்புநிலை மதிப்பு
விளக்கம்
தேர்வு செய்யப்படவில்லை
பைப்லைன் பதிவேட்டின் கடிகார போர்ட்டிற்கான செயலில் உள்ள உயர் கடிகாரத்தை செயல்படுத்துவதைக் குறிப்பிடுகிறது
இயல்புநிலை
IP மையத்திற்கான விரும்பிய தேர்வுமுறையைக் குறிப்பிடவும்.
இன்டெல் குவார்டஸ் பிரைம் மென்பொருளை ஐபி மையத்திற்கான சிறந்த தேர்வுமுறையைத் தீர்மானிக்க இயல்புநிலையைத் தேர்ந்தெடுக்கவும்.
4.7. Intel Stratix 10, Intel Arria 10 மற்றும் Intel Cyclone 10 GX சாதனங்களுக்கான அளவுருக்கள்
4.7.1. பொது தாவல்
அட்டவணை 12. பொது தாவல்
அளவுரு
மதிப்பு
இயல்புநிலை மதிப்பு
விளக்கம்
பெருக்கி கட்டமைப்பு வகை
தரவு போர்ட் அகலங்கள்
'data' உள்ளீட்டை 'datab' உள்ளீட்டால் பெருக்கவும்
'dataa' உள்ளீட்டை தானே பெருக்கவும் (சதுர செயல்பாடு)
'data' உள்ளீட்டை 'datab' உள்ளீட்டால் பெருக்கவும்
பெருக்கிக்கு தேவையான உள்ளமைவைத் தேர்ந்தெடுக்கவும்.
தரவு அகலம்
1 - 256 பிட்கள்
8 பிட்கள்
டேட்டா[] போர்ட்டின் அகலத்தைக் குறிப்பிடவும்.
டேட்டாப் அகலம்
1 - 256 பிட்கள்
8 பிட்கள்
டேட்டாப்[] போர்ட்டின் அகலத்தைக் குறிப்பிடவும்.
'முடிவு' வெளியீட்டின் அகலத்தை எவ்வாறு தீர்மானிக்க வேண்டும்?
வகை
அகலத்தை தானாக கணக்கிடுங்கள்
அகலத்தை கட்டுப்படுத்துங்கள்
தானாக y அகலத்தைக் கணக்கிடுங்கள்
முடிவு[] போர்ட்டின் அகலத்தை தீர்மானிக்க விரும்பிய முறையைத் தேர்ந்தெடுக்கவும்.
மதிப்பு
1 - 512 பிட்கள்
16 பிட்கள்
முடிவு[] போர்ட்டின் அகலத்தைக் குறிப்பிடவும்.
வகை அளவுருவில் அகலத்தைக் கட்டுப்படுத்து என்பதைத் தேர்ந்தெடுத்தால் மட்டுமே இந்த மதிப்பு பயனுள்ளதாக இருக்கும்.
முடிவு அகலம்
1 - 512 பிட்கள்
—
முடிவு[] போர்ட்டின் பயனுள்ள அகலத்தைக் காட்டுகிறது.
4.7.2. பொது 2 தாவல்
அட்டவணை 13. பொது 2 தாவல்
அளவுரு
தரவு உள்ளீடு
'டேட்டாப்' உள்ளீட்டு பேருந்திற்கு நிலையான மதிப்பு உள்ளதா?
இல்லை ஆம்
மதிப்பு
இயல்புநிலை மதிப்பு
விளக்கம்
இல்லை
இன் நிலையான மதிப்பைக் குறிப்பிட ஆம் என்பதைத் தேர்ந்தெடுக்கவும்
`டேட்டாப்' உள்ளீடு பஸ், ஏதேனும் இருந்தால்.
தொடர்ந்தது…
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 20
கருத்தை அனுப்பவும்
4. LPM_MULT (பெருக்கி) IP கோர் 683490 | 2020.10.05
அளவுரு
மதிப்பு
மதிப்பு
0 ஐ விட அதிகமான எந்த மதிப்பும்
பெருக்கல் வகை
எந்த வகை
கையொப்பமிடவில்லை
உங்களுக்கு பெருக்கல் வேண்டுமா? கையெழுத்திட்டது
செயல்படுத்தும் பாணி
எந்தப் பெருக்கி செயல்படுத்தலைப் பயன்படுத்த வேண்டும்?
இயல்புநிலை செயலாக்கத்தைப் பயன்படுத்தவும்
பிரத்யேக பெருக்கி சுற்றுகளைப் பயன்படுத்தவும்
தர்க்க கூறுகளைப் பயன்படுத்தவும்
இயல்புநிலை மதிப்பு
விளக்கம்
0
டேட்டாப்[] போர்ட்டின் நிலையான மதிப்பைக் குறிப்பிடவும்.
கையொப்பமிடவில்லை
டேட்டா[] மற்றும் டேட்டாப்[] உள்ளீடுகள் இரண்டிற்கும் பிரதிநிதித்துவ வடிவமைப்பைக் குறிப்பிடவும்.
இயல்புநிலை செயலாக்க அயனியைப் பயன்படுத்தவும்
முடிவு[] போர்ட்டின் அகலத்தை தீர்மானிக்க விரும்பிய முறையைத் தேர்ந்தெடுக்கவும்.
4.7.3. குழாய் பதித்தல்
அட்டவணை 14. பைப்லைனிங் தாவல்
அளவுரு
மதிப்பு
செயல்பாட்டை பைப்லைன் செய்ய விரும்புகிறீர்களா?
பைப்லைன்
இல்லை ஆம்
லேட்டன்சி கிளியர் சிக்னல் வகை
0 ஐ விட அதிகமான எந்த மதிப்பும்.
ஏசிஎல்ஆர் எஸ்சிஎல்ஆர் இல்லை
ஒரு 'clken' கடிகாரத்தை உருவாக்கவும்
—
கடிகாரத்தை இயக்கு
நீங்கள் எந்த வகையான மேம்படுத்தலை விரும்புகிறீர்கள்?
வகை
இயல்புநிலை வேகப் பகுதி
இயல்புநிலை மதிப்பு
விளக்கம்
எண் 1 இல்லை
—
பெருக்கியின் வெளியீட்டிற்கு பைப்லைன் பதிவேட்டை இயக்க ஆம் என்பதைத் தேர்ந்தெடுக்கவும். பைப்லைன் பதிவேட்டை இயக்குவது வெளியீட்டிற்கு கூடுதல் தாமதத்தை சேர்க்கிறது.
கடிகார சுழற்சியில் விரும்பிய வெளியீட்டு தாமதத்தைக் குறிப்பிடவும்.
பைப்லைன் பதிவிற்கான மீட்டமைப்பின் வகையைக் குறிப்பிடவும். நீங்கள் எந்த பைப்லைன் பதிவேட்டையும் பயன்படுத்தவில்லை என்றால் NONE என்பதைத் தேர்ந்தெடுக்கவும். பைப்லைன் பதிவேட்டிற்கு ஒத்திசைவற்ற தெளிவைப் பயன்படுத்த ACLR ஐத் தேர்ந்தெடுக்கவும். இது ACLR போர்ட்டை உருவாக்கும். பைப்லைன் பதிவேட்டில் ஒத்திசைவான தெளிவைப் பயன்படுத்த SCLR ஐத் தேர்ந்தெடுக்கவும். இது SCLR போர்ட்டை உருவாக்கும்.
பைப்லைன் பதிவேட்டின் கடிகார போர்ட்டிற்கான செயலில் உள்ள உயர் கடிகாரத்தை செயல்படுத்துவதைக் குறிப்பிடுகிறது
இயல்புநிலை
IP மையத்திற்கான விரும்பிய தேர்வுமுறையைக் குறிப்பிடவும்.
IP மையத்திற்கான சிறந்த தேர்வுமுறையைத் தீர்மானிக்க Intel Quartus Prime மென்பொருளை அனுமதிக்க இயல்புநிலையைத் தேர்ந்தெடுக்கவும்.
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 21
683490 | 2020.10.05 கருத்தை அனுப்பவும்
5. LPM_ADD_SUB (சேர்ப்பான்/கழிப்பான்)
படம் 4.
LPM_ADD_SUB IP கோர், உள்ளீட்டு மதிப்புகளின் கூட்டுத்தொகை அல்லது வேறுபாட்டைக் கொண்ட வெளியீட்டை உருவாக்க, தரவுகளின் தொகுப்புகளைச் சேர்க்க அல்லது கழிக்க, சேர்ப்பான் அல்லது கழிப்பானைச் செயல்படுத்த உங்களை அனுமதிக்கிறது.
பின்வரும் படம் LPM_ADD_SUB IP மையத்திற்கான போர்ட்களைக் காட்டுகிறது.
LPM_ADD_SUB போர்ட்கள்
LPM_ADD_SUB add_sub cin
தரவு[]
கடிகாரம் clken datab[] aclr
முடிவு[] வழிதல்
inst
5.1 அம்சங்கள்
LPM_ADD_SUB IP கோர் பின்வரும் அம்சங்களை வழங்குகிறது: · சேர்ப்பான், கழிப்பான் மற்றும் மாறும் வகையில் உள்ளமைக்கக்கூடிய சேர்/கழிப்பான் ஆகியவற்றை உருவாக்குகிறது
செயல்பாடுகள். · 1 பிட்களின் தரவு அகலத்தை ஆதரிக்கிறது. கையொப்பமிடப்பட்ட மற்றும் கையொப்பமிடப்படாதது போன்ற தரவு பிரதிநிதித்துவ வடிவமைப்பை ஆதரிக்கிறது. · விருப்ப கேரி-இன் (கடன் வாங்குதல்), ஒத்திசைவற்ற தெளிவானது மற்றும் கடிகாரத்தை இயக்குவதை ஆதரிக்கிறது
உள்ளீட்டு துறைமுகங்கள். · விருப்ப கேரி-அவுட் (கடன் வாங்குதல்) மற்றும் ஓவர்ஃப்ளோ அவுட்புட் போர்ட்களை ஆதரிக்கிறது. · உள்ளீட்டு தரவு பேருந்துகளில் ஒன்றை மாறிலிக்கு ஒதுக்குகிறது. · கட்டமைக்கக்கூடிய வெளியீட்டு தாமதத்துடன் பைப்லைனிங்கை ஆதரிக்கிறது.
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
ISO 9001:2015 பதிவு செய்யப்பட்டது
5. LPM_ADD_SUB (சேர்ப்பான்/கழிப்பான்) 683490 | 2020.10.05
5.2 வெரிலாக் HDL முன்மாதிரி
பின்வரும் வெரிலாக் எச்டிஎல் முன்மாதிரி வெரிலாக் டிசைனில் உள்ளது File (.v) lpm.v இல் edasynthesis அடைவு.
தொகுதி lpm_add_sub (முடிவு, கவுட், ஓவர்ஃப்ளோ, add_sub, cin, dataa, datab, clock, clken, aclr ); அளவுரு lpm_type = “lpm_add_sub”; அளவுரு lpm_width = 1; அளவுரு lpm_direction = “பயன்படுத்தப்படாதது”; அளவுரு lpm_representation = "கையொப்பமிடப்பட்டது"; அளவுரு lpm_pipeline = 0; அளவுரு lpm_hint = "பயன்படுத்தப்படாதது"; உள்ளீடு [lpm_width-1:0] dataa, datab; உள்ளீடு add_sub, cin; உள்ளீடு கடிகாரம்; உள்ளீடு clken; உள்ளீடு acr; வெளியீடு [lpm_width-1:0] முடிவு; அவுட்புட் கவுட், வழிதல்; இறுதி தொகுதி
5.3 VHDL கூறு அறிவிப்பு
VHDL கூறு அறிவிப்பு VHDL வடிவமைப்பில் அமைந்துள்ளது File (.vhd) LPM_PACK.vhd இல் நூலகங்கள்vhdllpm அடைவு.
கூறு LPM_ADD_SUB பொதுவான (LPM_WIDTH : இயற்கை;
LPM_DIRECTION : சரம் := “பயன்படுத்தப்படாதது”; LPM_REPRESENTATION: சரம் := “கையொப்பமிடப்பட்டது”; LPM_PIPELINE : இயற்கை := 0; LPM_TYPE : சரம் := L_ADD_SUB; LPM_HINT : சரம் := “பயன்படுத்தப்படாதது”); துறை := '1'; std_logic:= 'Z'; இறுதி கூறு;
5.4 VHDL LIBRARY_USE பிரகடனம்
நீங்கள் VHDL உபகரணப் பிரகடனத்தைப் பயன்படுத்தினால், VHDL லைப்ரரி-பயன்பாட்டு அறிவிப்பு தேவையில்லை.
லைப்ரரி எல்பிஎம்; lpm.lpm_components.all பயன்படுத்தவும்;
5.5 துறைமுகங்கள்
பின்வரும் அட்டவணைகள் LPM_ADD_SUB IP மையத்திற்கான உள்ளீடு மற்றும் வெளியீட்டு போர்ட்களை பட்டியலிடுகிறது.
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 23
5. LPM_ADD_SUB (சேர்ப்பான்/கழிப்பான்) 683490 | 2020.10.05
அட்டவணை 15. LPM_ADD_SUB ஐபி கோர் உள்ளீட்டு போர்ட்கள்
துறைமுக பெயர்
தேவை
விளக்கம்
சின்
இல்லை
லோ-ஆர்டர் பிட்டுக்கு எடுத்துச் செல்லுங்கள். கூட்டல் செயல்பாடுகளுக்கு, இயல்புநிலை மதிப்பு 0 ஆகும்
கழித்தல் செயல்பாடுகள், இயல்புநிலை மதிப்பு 1.
தரவு[]
ஆம்
தரவு உள்ளீடு. உள்ளீட்டு போர்ட்டின் அளவு LPM_WIDTH அளவுரு மதிப்பைப் பொறுத்தது.
தரவுத்தளம்[]
ஆம்
தரவு உள்ளீடு. உள்ளீட்டு போர்ட்டின் அளவு LPM_WIDTH அளவுரு மதிப்பைப் பொறுத்தது.
add_sub
இல்லை
சேர்க்கை மற்றும் கழிப்பான் இடையே மாறும் மாறுதலை இயக்க விருப்ப உள்ளீடு போர்ட்
செயல்பாடுகள். LPM_DIRECTION அளவுரு பயன்படுத்தப்பட்டால், add_sub ஐப் பயன்படுத்த முடியாது. என்றால்
தவிர்க்கப்பட்டது, இயல்புநிலை மதிப்பு ADD ஆகும். இன்டெல் நீங்கள் பயன்படுத்த பரிந்துரைக்கிறது
LPM_ADD_SUB செயல்பாட்டின் செயல்பாட்டைக் குறிப்பிட LPM_DIRECTION அளவுரு,
add_sub போர்ட்டுக்கு மாறிலியை ஒதுக்குவதை விட.
கடிகாரம்
இல்லை
பைப்லைன் பயன்பாட்டிற்கான உள்ளீடு. கடிகார போர்ட் ஒரு குழாய்க்கு கடிகார உள்ளீட்டை வழங்குகிறது
அறுவை சிகிச்சை. 0 (இயல்புநிலை) தவிர மற்ற LPM_PIPELINE மதிப்புகளுக்கு, கடிகார போர்ட் இருக்க வேண்டும்
செயல்படுத்தப்பட்டது.
clken
இல்லை
பைப்லைன் பயன்பாட்டிற்கு கடிகாரத்தை இயக்கவும். clken துறைமுகம் உயர்வாக வலியுறுத்தப்படும் போது, சேர்ப்பான்/
கழித்தல் செயல்பாடு நடைபெறுகிறது. சிக்னல் குறைவாக இருக்கும்போது, எந்த செயல்பாடும் ஏற்படாது. என்றால்
தவிர்க்கப்பட்டது, இயல்புநிலை மதிப்பு 1.
aclr
இல்லை
பைப்லைன் பயன்பாட்டிற்கான ஒத்திசைவற்ற தெளிவானது. பைப்லைன் வரையறுக்கப்படாத (X) க்கு தொடங்குகிறது
தர்க்க நிலை. 0 வினாடிகளுக்கு பைப்லைனை மீட்டமைக்க aclr போர்ட்டை எந்த நேரத்திலும் பயன்படுத்தலாம்,
கடிகார சமிக்ஞைக்கு ஒத்திசைவற்ற முறையில்.
அட்டவணை 16. LPM_ADD_SUB ஐபி கோர் அவுட்புட் போர்ட்கள்
துறைமுக பெயர்
தேவை
விளக்கம்
விளைவாக[]
ஆம்
தரவு வெளியீடு. வெளியீடு போர்ட்டின் அளவு LPM_WIDTH அளவுருவைப் பொறுத்தது
மதிப்பு.
கூட்
இல்லை
மிக முக்கியமான பிட்டின் (MSB) கேரி-அவுட் (கடன்-இன்) கவுட் போர்ட் ஒரு உடல் உள்ளது
MSB-யின் செயல்படுத்தல் (கடன் வாங்குதல்) என விளக்கம். கவுட் போர்ட் கண்டறியும்
கையொப்பமிடப்படாத செயல்பாடுகளில் நிரம்பி வழிகிறது. கவுட் போர்ட் அதே வழியில் செயல்படுகிறது
கையொப்பமிடப்பட்ட மற்றும் கையொப்பமிடப்படாத செயல்பாடுகள்.
நிரம்பி வழிகிறது
இல்லை
விருப்ப ஓவர்ஃப்ளோ விதிவிலக்கு வெளியீடு. ஓவர்ஃப்ளோ போர்ட் என ஒரு உடல் விளக்கம் உள்ளது
MSBயின் கேரி-அவுட்டுடன் MSBக்கு எடுத்துச் செல்லும் XOR. வழிந்தோடும் துறைமுகம்
முடிவுகள் கிடைக்கக்கூடிய துல்லியத்தை மீறும் போது உறுதிப்படுத்துகிறது, மேலும் இது பயன்படுத்தப்படும் போது மட்டுமே
LPM_REPRESENTATION அளவுரு மதிப்பு SIGNED.
5.6. அளவுருக்கள்
பின்வரும் அட்டவணை LPM_ADD_SUB IP மைய அளவுருக்களை பட்டியலிடுகிறது.
அட்டவணை 17. LPM_ADD_SUB IP மைய அளவுருக்கள்
அளவுரு பெயர் LPM_WIDTH
முழு எண் என டைப் செய்யவும்
தேவை ஆம்
விளக்கம்
டேட்டா[], டேட்டாப்[] மற்றும் முடிவு[] போர்ட்களின் அகலங்களைக் குறிப்பிடுகிறது.
LPM_DIRECTION
சரம்
இல்லை
மதிப்புகள் சேர், துணை மற்றும் பயன்படுத்தப்படாதவை. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு DEFAULT ஆகும், இது add_sub போர்ட்டில் இருந்து அதன் மதிப்பை எடுக்க அளவுருவை வழிநடத்துகிறது. LPM_DIRECTION பயன்படுத்தினால் add_sub போர்ட்டைப் பயன்படுத்த முடியாது. ஆட்_சப் போர்ட்டுக்கு மாறிலியை ஒதுக்குவதற்குப் பதிலாக, LPM_ADD_SUB செயல்பாட்டின் செயல்பாட்டைக் குறிப்பிடுவதற்கு LPM_DIRECTION அளவுருவைப் பயன்படுத்துமாறு Intel பரிந்துரைக்கிறது.
தொடர்ந்தது…
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 24
கருத்தை அனுப்பவும்
5. LPM_ADD_SUB (சேர்ப்பான்/கழிப்பான்) 683490 | 2020.10.05
அளவுரு பெயர் LPM_REPRESENTATION LPM_PIPELINE LPM_HINT LPM_TYPE ONE_INPUT_IS_CONSTANT MAXIMIZE_SPEED
INTENDED_DEVICE_FAMILY
சரம் முழு எண் சரம் சரம் முழு எண்
சரம்
தேவை இல்லை இல்லை இல்லை இல்லை இல்லை இல்லை இல்லை
இல்லை
விளக்கம்
சேர்க்கப்படும் வகையைக் குறிப்பிடுகிறது. மதிப்புகள் கையொப்பமிடப்பட்டவை மற்றும் கையெழுத்திடப்படாதவை. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு கையொப்பமிடப்படும். இந்த அளவுரு கையொப்பமிடப்பட்டதாக அமைக்கப்பட்டால், சேர்/கழிப்பான் தரவு உள்ளீட்டை கையொப்பமிடப்பட்ட இருவரின் நிரப்பியாக விளக்குகிறது.
முடிவு[] வெளியீட்டுடன் தொடர்புடைய தாமத கடிகார சுழற்சிகளின் எண்ணிக்கையைக் குறிப்பிடுகிறது. பூஜ்ஜியத்தின் (0) மதிப்பு, தாமதம் இல்லை என்பதையும், முற்றிலும் கூட்டுச் செயல்பாடு உடனடியாகச் செயல்படுத்தப்படும் என்பதையும் குறிக்கிறது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 0 (பைப்லைன் இல்லாதது) ஆகும்.
VHDL வடிவமைப்பில் இன்டெல்-குறிப்பிட்ட அளவுருக்களைக் குறிப்பிட உங்களை அனுமதிக்கிறது files (.vhd). இயல்புநிலை மதிப்பு பயன்படுத்தப்படவில்லை.
VHDL வடிவமைப்பில் உள்ள அளவுருப்படுத்தப்பட்ட தொகுதிகளின் நூலகத்தை (LPM) அடையாளம் காட்டுகிறது files.
இன்டெல்-குறிப்பிட்ட அளவுரு. VHDL வடிவமைப்பில் ONE_INPUT_IS_CONSTANT அளவுருவைக் குறிப்பிட நீங்கள் LPM_HINT அளவுருவைப் பயன்படுத்த வேண்டும் fileகள். மதிப்புகள் ஆம், இல்லை மற்றும் பயன்படுத்தப்படாதவை. ஒரு உள்ளீடு நிலையானதாக இருந்தால் அதிக தேர்வுமுறையை வழங்குகிறது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு NO ஆகும்.
இன்டெல்-குறிப்பிட்ட அளவுரு. VHDL வடிவமைப்பில் MAXIMIZE_SPEED அளவுருவைக் குறிப்பிட நீங்கள் LPM_HINT அளவுருவைப் பயன்படுத்த வேண்டும் fileகள். 0 மற்றும் 10 க்கு இடையேயான மதிப்பை நீங்கள் குறிப்பிடலாம். பயன்படுத்தினால், Intel Quartus Prime மென்பொருள், LPM_ADD_SUB செயல்பாட்டின் குறிப்பிட்ட நிகழ்வை ரூட்டபிலிட்டிக்கு பதிலாக வேகத்திற்கு மேம்படுத்த முயற்சிக்கிறது, மேலும் உகப்பாக்க நுட்பம் லாஜிக் விருப்பத்தின் அமைப்பை மீறுகிறது. MAXIMIZE_SPEED பயன்படுத்தப்படாவிட்டால், அதற்குப் பதிலாக மேம்படுத்தல் நுட்ப விருப்பத்தின் மதிப்பு பயன்படுத்தப்படும். MAXIMIZE_SPEEDக்கான அமைப்பு 6 அல்லது அதற்கும் அதிகமாக இருந்தால், கம்பைலர் கேரி செயின்களைப் பயன்படுத்தி அதிக வேகத்திற்கு LPM_ADD_SUB IP மையத்தை மேம்படுத்துகிறது; அமைப்பு 5 அல்லது அதற்கும் குறைவாக இருந்தால், கம்பைலர் கேரி செயின் இல்லாமல் வடிவமைப்பை செயல்படுத்துகிறது. add_sub போர்ட் பயன்படுத்தப்படாதபோது மட்டுமே, Cyclone, Stratix மற்றும் Stratix GX சாதனங்களுக்கு இந்த அளவுருவைக் குறிப்பிட வேண்டும்.
இந்த அளவுரு மாடலிங் மற்றும் நடத்தை உருவகப்படுத்துதல் நோக்கங்களுக்காக பயன்படுத்தப்படுகிறது. அளவுரு எடிட்டர் இந்த அளவுருவின் மதிப்பைக் கணக்கிடுகிறது.
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 25
683490 | 2020.10.05 கருத்தை அனுப்பவும்
6. LPM_COMPARE (ஒப்பீட்டாளர்)
படம் 5.
LPM_COMPARE IP மையமானது, அவற்றுக்கிடையேயான உறவைத் தீர்மானிக்க, இரண்டு தரவுத் தொகுப்புகளின் மதிப்பை ஒப்பிடுகிறது. அதன் எளிமையான வடிவத்தில், இரண்டு பிட்கள் தரவு சமமாக உள்ளதா என்பதைத் தீர்மானிக்க, நீங்கள் பிரத்தியேக-OR வாயிலைப் பயன்படுத்தலாம்.
பின்வரும் படம் LPM_COMPARE IP மையத்திற்கான போர்ட்களைக் காட்டுகிறது.
LPM_COMPARE போர்ட்கள்
LPM_COMPARE
clken
ஆல்ப்
aeb
தரவு[]
ஏஜிபி
தரவுத்தளம்[]
வயது
கடிகாரம்
aneb
aclr
அலெப்
inst
6.1 அம்சங்கள்
LPM_COMPARE IP கோர் பின்வரும் அம்சங்களை வழங்குகிறது: · இரண்டு செட் தரவுகளை ஒப்பிடுவதற்கு ஒரு ஒப்பீட்டு செயல்பாட்டை உருவாக்குகிறது · 1 பிட்களின் தரவு அகலத்தை ஆதரிக்கிறது · கையொப்பமிடப்பட்ட மற்றும் கையொப்பமிடாதது போன்ற தரவு பிரதிநிதித்துவ வடிவமைப்பை ஆதரிக்கிறது · பின்வரும் வெளியீட்டு வகைகளை உருவாக்குகிறது:
— alb (உள்ளீடு A உள்ளீடு B ஐ விட குறைவாக உள்ளது) — aeb (உள்ளீடு A உள்ளீடு B க்கு சமம்) — agb (உள்ளீடு A உள்ளீடு B ஐ விட பெரியது) — ageb (உள்ளீடு A உள்ளீடு B ஐ விட பெரியது அல்லது சமமானது) — aneb ( உள்ளீடு A உள்ளீடு B க்கு சமமாக இல்லை) — aleb (உள்ளீடு A ஆனது உள்ளீடு B ஐ விட குறைவாகவோ அல்லது சமமாகவோ உள்ளது) · விருப்ப ஒத்திசைவற்ற தெளிவான மற்றும் கடிகாரத்தை உள்ளீடு போர்ட்களை இயக்குகிறது
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
ISO 9001:2015 பதிவு செய்யப்பட்டது
6. LPM_COMPARE (ஒப்பீட்டாளர்) 683490 | 2020.10.05
6.2 வெரிலாக் HDL முன்மாதிரி
பின்வரும் வெரிலாக் எச்டிஎல் முன்மாதிரி வெரிலாக் டிசைனில் உள்ளது File (.v) lpm.v இல் edasynthesis அடைவு.
தொகுதி lpm_compare (alb, aeb, agb, aleb, aneb, ageb, dataa, datab, clock, clken, aclr ); அளவுரு lpm_type = “lpm_compare”; அளவுரு lpm_width = 1; அளவுரு lpm_representation = "UNSIGNED"; அளவுரு lpm_pipeline = 0; அளவுரு lpm_hint = "பயன்படுத்தப்படாதது"; உள்ளீடு [lpm_width-1:0] dataa, datab; உள்ளீடு கடிகாரம்; உள்ளீடு clken; உள்ளீடு acr; வெளியீடு alb, aeb, agb, aleb, aneb, ageb; இறுதி தொகுதி
6.3 VHDL கூறு அறிவிப்பு
VHDL கூறு அறிவிப்பு VHDL வடிவமைப்பில் அமைந்துள்ளது File (.vhd) LPM_PACK.vhd இல் நூலகங்கள்vhdllpm அடைவு.
கூறு LPM_COMPARE பொதுவான (LPM_WIDTH : இயற்கை;
LPM_REPRESENTATION : சரம் := “கையொப்பமிடப்படாதது”; LPM_PIPELINE : இயற்கை := 0; LPM_TYPE: சரம் := L_COMPARE; LPM_HINT : சரம் := “பயன்படுத்தப்படாதது”); துறை := '1'; அவுட் std_logic: out std_logic; இறுதி கூறு;
6.4 VHDL LIBRARY_USE பிரகடனம்
நீங்கள் VHDL உபகரணப் பிரகடனத்தைப் பயன்படுத்தினால், VHDL லைப்ரரி-பயன்பாட்டு அறிவிப்பு தேவையில்லை.
லைப்ரரி எல்பிஎம்; lpm.lpm_components.all பயன்படுத்தவும்;
6.5 துறைமுகங்கள்
பின்வரும் அட்டவணைகள் LMP_COMPARE IP மையத்திற்கான உள்ளீடு மற்றும் வெளியீட்டு போர்ட்களை பட்டியலிடுகிறது.
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 27
6. LPM_COMPARE (ஒப்பீட்டாளர்) 683490 | 2020.10.05
அட்டவணை 18. LPM_COMPARE IP கோர் உள்ளீட்டு போர்ட்கள்
துறைமுக பெயர்
தேவை
விளக்கம்
தரவு[]
ஆம்
தரவு உள்ளீடு. உள்ளீட்டு போர்ட்டின் அளவு LPM_WIDTH அளவுரு மதிப்பைப் பொறுத்தது.
தரவுத்தளம்[]
ஆம்
தரவு உள்ளீடு. உள்ளீட்டு போர்ட்டின் அளவு LPM_WIDTH அளவுரு மதிப்பைப் பொறுத்தது.
கடிகாரம்
இல்லை
பைப்லைன் பயன்பாட்டிற்கான கடிகார உள்ளீடு. கடிகார போர்ட் ஒரு குழாய்க்கு கடிகார உள்ளீட்டை வழங்குகிறது
அறுவை சிகிச்சை. 0 (இயல்புநிலை) தவிர மற்ற LPM_PIPELINE மதிப்புகளுக்கு, கடிகார போர்ட் இருக்க வேண்டும்
செயல்படுத்தப்பட்டது.
clken
இல்லை
பைப்லைன் பயன்பாட்டிற்கு கடிகாரத்தை இயக்கவும். clken துறைமுகம் உயர் வலியுறுத்தப்படும் போது, தி
ஒப்பீட்டு செயல்பாடு நடைபெறுகிறது. சிக்னல் குறைவாக இருக்கும்போது, எந்த செயல்பாடும் ஏற்படாது. என்றால்
தவிர்க்கப்பட்டது, இயல்புநிலை மதிப்பு 1.
aclr
இல்லை
பைப்லைன் பயன்பாட்டிற்கான ஒத்திசைவற்ற தெளிவானது. பைப்லைன் வரையறுக்கப்படாத (X) தர்க்கத்திற்கு துவக்குகிறது
நிலை. 0 வினாடிகளுக்கு பைப்லைனை மீட்டமைக்க aclr போர்ட்டை எந்த நேரத்திலும் பயன்படுத்தலாம்,
கடிகார சமிக்ஞைக்கு ஒத்திசைவற்ற முறையில்.
அட்டவணை 19. LPM_COMPARE IP கோர் அவுட்புட் போர்ட்கள்
துறைமுக பெயர்
தேவை
விளக்கம்
ஆல்ப்
இல்லை
ஒப்பீட்டாளருக்கான அவுட்புட் போர்ட். உள்ளீடு B ஐ விட உள்ளீடு A குறைவாக இருந்தால் உறுதிப்படுத்தப்படும்.
aeb
இல்லை
ஒப்பீட்டாளருக்கான அவுட்புட் போர்ட். உள்ளீடு A என்பது உள்ளீடு B க்கு சமமாக இருந்தால் உறுதிப்படுத்தப்பட்டது.
ஏஜிபி
இல்லை
ஒப்பீட்டாளருக்கான அவுட்புட் போர்ட். உள்ளீடு B ஐ விட உள்ளீடு A அதிகமாக இருந்தால் உறுதிப்படுத்தப்படுகிறது.
வயது
இல்லை
ஒப்பீட்டாளருக்கான அவுட்புட் போர்ட். உள்ளீடு A உள்ளீட்டை விட அதிகமாகவோ அல்லது சமமாகவோ இருந்தால் உறுதிப்படுத்தப்படுகிறது
B.
aneb
இல்லை
ஒப்பீட்டாளருக்கான அவுட்புட் போர்ட். உள்ளீடு A என்பது உள்ளீடு Bக்கு சமமாக இல்லை எனில் வலியுறுத்தப்பட்டது.
அலெப்
இல்லை
ஒப்பீட்டாளருக்கான அவுட்புட் போர்ட். உள்ளீடு B ஐ விட குறைவாகவோ அல்லது சமமாகவோ இருந்தால் உறுதிப்படுத்தப்படும்.
6.6. அளவுருக்கள்
பின்வரும் அட்டவணை LPM_COMPARE IP மையத்திற்கான அளவுருக்களை பட்டியலிடுகிறது.
அட்டவணை 20. LPM_COMPARE IP மைய அளவுருக்கள்
அளவுரு பெயர்
வகை
தேவை
LPM_WIDTH
முழு எண் ஆம்
LPM_REPRESENTATION
சரம்
இல்லை
LPM_PIPELINE
முழு எண்
LPM_HINT
சரம்
இல்லை
விளக்கம்
டேட்டா[] மற்றும் டேட்டாப்[] போர்ட்களின் அகலங்களைக் குறிப்பிடுகிறது.
நிகழ்த்தப்பட்ட ஒப்பீடு வகையைக் குறிப்பிடுகிறது. மதிப்புகள் கையொப்பமிடப்பட்டவை மற்றும் கையெழுத்திடப்படாதவை. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு கையெழுத்திடப்படாததாக இருக்கும். இந்த அளவுரு மதிப்பானது SIGNED என அமைக்கப்பட்டால், ஒப்பீட்டாளர் தரவு உள்ளீட்டை கையொப்பமிடப்பட்ட இருவரின் நிரப்பியாக விளக்குகிறார்.
alb, aeb, agb, ageb, aleb அல்லது aneb வெளியீட்டுடன் தொடர்புடைய தாமதத்தின் கடிகார சுழற்சிகளின் எண்ணிக்கையைக் குறிப்பிடுகிறது. பூஜ்ஜியத்தின் (0) மதிப்பு, தாமதம் இல்லை என்பதையும், முற்றிலும் கூட்டுச் செயல்பாடு உடனடியாகச் செயல்படுத்தப்படும் என்பதையும் குறிக்கிறது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 0 (பைப்லைன் இல்லாதது) ஆகும்.
VHDL வடிவமைப்பில் இன்டெல்-குறிப்பிட்ட அளவுருக்களைக் குறிப்பிட உங்களை அனுமதிக்கிறது files (.vhd). இயல்புநிலை மதிப்பு பயன்படுத்தப்படவில்லை.
தொடர்ந்தது…
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 28
கருத்தை அனுப்பவும்
6. LPM_COMPARE (ஒப்பீட்டாளர்) 683490 | 2020.10.05
அளவுரு பெயர் LPM_TYPE INTENDED_DEVICE_FAMILY
ONE_INPUT_IS_CONSTANT
சரம் சரம் என தட்டச்சு செய்யவும்
சரம்
தேவையான எண்
இல்லை
விளக்கம்
VHDL வடிவமைப்பில் உள்ள அளவுருப்படுத்தப்பட்ட தொகுதிகளின் நூலகத்தை (LPM) அடையாளம் காட்டுகிறது files.
இந்த அளவுரு மாடலிங் மற்றும் நடத்தை உருவகப்படுத்துதல் நோக்கங்களுக்காக பயன்படுத்தப்படுகிறது. அளவுரு எடிட்டர் இந்த அளவுருவின் மதிப்பைக் கணக்கிடுகிறது.
இன்டெல்-குறிப்பிட்ட அளவுரு. VHDL வடிவமைப்பில் ONE_INPUT_IS_CONSTANT அளவுருவைக் குறிப்பிட நீங்கள் LPM_HINT அளவுருவைப் பயன்படுத்த வேண்டும் fileகள். மதிப்புகள் ஆம், இல்லை அல்லது பயன்படுத்தப்படாதவை. உள்ளீடு நிலையானதாக இருந்தால், அதிக தேர்வுமுறையை வழங்குகிறது. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு NO ஆகும்.
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 29
683490 | 2020.10.05 கருத்தை அனுப்பவும்
7. ALTECC (பிழை திருத்தக் குறியீடு: என்கோடர்/டிகோடர்) ஐபி கோர்
படம் 6.
ECC செயல்பாட்டை செயல்படுத்த Intel ALTECC IP மையத்தை வழங்குகிறது. தரவு பரிமாற்றத்தின் போது பெறுநரின் பக்கத்தில் ஏற்படும் சிதைந்த தரவை ECC கண்டறிகிறது. இந்த பிழை திருத்தும் முறையானது வெடிப்புகளில் இல்லாமல் சீரற்ற முறையில் பிழைகள் ஏற்படும் சூழ்நிலைகளுக்கு மிகவும் பொருத்தமானது.
தரவு குறியாக்கம் மற்றும் டிகோடிங் செயல்முறை மூலம் ECC பிழைகளைக் கண்டறிகிறது. உதாரணமாகample, ECC ஒரு டிரான்ஸ்மிஷன் பயன்பாட்டில் பயன்படுத்தப்படும் போது, மூலத்திலிருந்து படிக்கப்பட்ட தரவு பெறுநருக்கு அனுப்பப்படுவதற்கு முன்பு குறியாக்கம் செய்யப்படுகிறது. குறியாக்கியின் வெளியீடு (குறியீடு சொல்) சமநிலை பிட்களின் எண்ணிக்கையுடன் இணைக்கப்பட்ட மூலத் தரவைக் கொண்டுள்ளது. இணைக்கப்பட்ட சமநிலை பிட்களின் சரியான எண்ணிக்கை உள்ளீட்டுத் தரவில் உள்ள பிட்களின் எண்ணிக்கையைப் பொறுத்தது. உருவாக்கப்பட்ட குறியீட்டு வார்த்தை பின்னர் இலக்குக்கு அனுப்பப்படும்.
பெறுநர் குறியீட்டு வார்த்தையைப் பெற்று அதை டிகோட் செய்கிறார். டிகோடரால் பெறப்பட்ட தகவல் பிழை கண்டறியப்பட்டதா என்பதை தீர்மானிக்கிறது. டிகோடர் ஒற்றை-பிட் மற்றும் இரட்டை-பிட் பிழைகளைக் கண்டறியும், ஆனால் சிதைந்த தரவுகளில் ஒற்றை-பிட் பிழைகளை மட்டுமே சரிசெய்ய முடியும். இந்த வகை ECC ஒற்றை பிழை திருத்தம் இரட்டை பிழை கண்டறிதல் (SECDED) ஆகும்.
ALTECC IP மையத்தின் குறியாக்கி மற்றும் குறிவிலக்கி செயல்பாடுகளை நீங்கள் கட்டமைக்கலாம். குறியாக்கிக்கான தரவு உள்ளீடு, தரவு உள்ளீடு மற்றும் உருவாக்கப்பட்ட சமநிலை பிட்களின் கலவையான குறியீட்டு வார்த்தையை உருவாக்க குறியாக்கம் செய்யப்படுகிறது. உருவாக்கப்பட்ட குறியீட்டு வார்த்தை அதன் இலக்குத் தொகுதியை அடைவதற்கு சற்று முன்பு டிகோடிங்கிற்காக டிகோடர் தொகுதிக்கு அனுப்பப்படுகிறது. பெறப்பட்ட குறியீட்டு வார்த்தையில் ஏதேனும் பிழை உள்ளதா என்பதை அறிய டிகோடர் ஒரு சிண்ட்ரோம் வெக்டரை உருவாக்குகிறது. ஒற்றை பிட் பிழை தரவு பிட்களில் இருந்து இருந்தால் மட்டுமே டிகோடர் தரவை சரிசெய்கிறது. ஒற்றை-பிட் பிழை சமநிலை பிட்களிலிருந்து இருந்தால் எந்த சமிக்ஞையும் கொடியிடப்படாது. டிகோடரில் பெறப்பட்ட தரவின் நிலை மற்றும் டிகோடரால் எடுக்கப்பட்ட நடவடிக்கை ஏதேனும் இருந்தால் காட்டுவதற்கான கொடி சமிக்ஞைகளும் உள்ளன.
பின்வரும் புள்ளிவிவரங்கள் ALTECC IP மையத்திற்கான போர்ட்களைக் காட்டுகின்றன.
ALTECC என்கோடர் போர்ட்கள்
ALTECC_ENCODER
தகவல்கள்[]
q[]
கடிகாரம்
கடிகாரம்
aclr
inst
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
ISO 9001:2015 பதிவு செய்யப்பட்டது
7. ALTECC (பிழை திருத்தக் குறியீடு: என்கோடர்/டிகோடர்) IP கோர் 683490 | 2020.10.05
படம் 7. ALTECC டிகோடர் போர்ட்கள்
ALTECC_DECODER
தரவு[] கடிகார கடிகாரம்
q[] பிழை_கண்டறியப்பட்டது err_corrected
பிழை_அபாயகரமான
aclr
inst
7.1. ALTECC குறியாக்கி அம்சங்கள்
ALTECC குறியாக்கி IP கோர் பின்வரும் அம்சங்களை வழங்குகிறது: · ஹேமிங் கோடிங் திட்டத்தைப் பயன்படுத்தி தரவு குறியாக்கத்தை செய்கிறது · 2 பிட்களின் தரவு அகலத்தை ஆதரிக்கிறது · கையொப்பமிடப்பட்ட மற்றும் கையொப்பமிடப்படாத தரவு பிரதிநிதித்துவ வடிவமைப்பை ஆதரிக்கிறது · ஒன்று அல்லது இரண்டு கடிகார சுழற்சிகளின் வெளியீட்டு தாமதத்துடன் பைப்லைனிங் ஆதரவு · விருப்பத்தேர்வுகளை ஆதரிக்கிறது ஒத்திசைவற்ற தெளிவான மற்றும் கடிகாரம் துறைமுகங்களை செயல்படுத்துகிறது
ALTECC குறியாக்கி IP கோர் ஹேமிங் கோடிங் திட்டத்தைப் பயன்படுத்தி தரவை எடுத்து குறியாக்கம் செய்கிறது. ஹேமிங் கோடிங் திட்டம் சமநிலை பிட்களைப் பெறுகிறது மற்றும் வெளியீட்டு குறியீட்டு வார்த்தையை உருவாக்க அசல் தரவுகளுடன் அவற்றைச் சேர்க்கிறது. இணைக்கப்பட்ட பாரிட்டி பிட்களின் எண்ணிக்கை தரவின் அகலத்தைப் பொறுத்தது.
பின்வரும் அட்டவணையானது தரவு அகலங்களின் வெவ்வேறு வரம்புகளுக்கு இணைக்கப்பட்ட சமநிலை பிட்களின் எண்ணிக்கையை பட்டியலிடுகிறது. மொத்த பிட்கள் நெடுவரிசையானது உள்ளீட்டு தரவு பிட்கள் மற்றும் இணைக்கப்பட்ட சமநிலை பிட்களின் மொத்த எண்ணிக்கையைக் குறிக்கிறது.
அட்டவணை 21.
தரவு அகலத்தின்படி பாரிட்டி பிட்கள் மற்றும் குறியீடு வார்த்தைகளின் எண்ணிக்கை
தரவு அகலம்
பாரிட்டி பிட்களின் எண்ணிக்கை
மொத்த பிட்கள் (குறியீடு வார்த்தை)
2-4
3+1
6-8
5-11
4+1
10-16
12-26
5+1
18-32
27-57
6+1
34-64
58-64
7+1
66-72
சமநிலை பிட் வழித்தோன்றல் சம-பரிசீலனை சரிபார்ப்பைப் பயன்படுத்துகிறது. கூடுதல் 1 பிட் (அட்டவணையில் +1 ஆகக் காட்டப்பட்டுள்ளது) குறியீட்டு வார்த்தையின் MSB ஆக சமநிலை பிட்களுடன் இணைக்கப்பட்டுள்ளது. குறியீட்டு வார்த்தை 1 இன் இரட்டை எண்ணைக் கொண்டிருப்பதை இது உறுதி செய்கிறது. உதாரணமாகample, தரவு அகலம் 4 பிட்கள் எனில், மொத்தம் 4 பிட்கள் கொண்ட குறியீட்டு வார்த்தையாக 8 பேரிட்டி பிட்கள் தரவுடன் இணைக்கப்படும். 7-பிட் குறியீட்டு வார்த்தையின் LSB இலிருந்து 8 பிட்கள் ஒற்றைப்படை எண் 1 ஐக் கொண்டிருந்தால், குறியீட்டு வார்த்தையின் 8வது பிட் (MSB) 1 என்பது குறியீட்டு வார்த்தையில் உள்ள 1களின் மொத்த எண்ணிக்கையை சமன் செய்யும்.
பின்வரும் படம் உருவாக்கப்பட்ட குறியீடு வார்த்தை மற்றும் 8-பிட் தரவு உள்ளீட்டில் சமநிலை பிட்கள் மற்றும் தரவு பிட்களின் ஏற்பாட்டைக் காட்டுகிறது.
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 31
7. ALTECC (பிழை திருத்தக் குறியீடு: என்கோடர்/டிகோடர்) IP கோர் 683490 | 2020.10.05
படம் 8.
8-பிட் உருவாக்கப்பட்ட குறியீட்டு வார்த்தையில் பாரிட்டி பிட்கள் மற்றும் டேட்டா பிட்கள் ஏற்பாடு
எம்.எஸ்.பி.
எல்.எஸ்.பி
4 சமநிலை பிட்கள்
4 தரவு பிட்கள்
8
1
ALTECC குறியாக்கி IP கோர் ஒரே நேரத்தில் 2 முதல் 64 பிட்கள் வரை உள்ளீடு அகலங்களை மட்டுமே ஏற்றுக்கொள்கிறது. இன்டெல் சாதனங்களுக்கு மிகவும் பொருத்தமான 12 பிட்கள், 29 பிட்கள் மற்றும் 64 பிட்களின் உள்ளீட்டு அகலங்கள் முறையே 18 பிட்கள், 36 பிட்கள் மற்றும் 72 பிட்களின் வெளியீடுகளை உருவாக்குகின்றன. அளவுரு எடிட்டரில் பிட்செலக்ஷன் வரம்பை நீங்கள் கட்டுப்படுத்தலாம்.
7.2 வெரிலாக் HDL முன்மாதிரி (ALTECC_ENCODER)
பின்வரும் வெரிலாக் எச்டிஎல் முன்மாதிரி வெரிலாக் டிசைனில் உள்ளது File (.v) lpm.v இல் edasynthesis அடைவு.
altecc_encoder தொகுதி கம்பி கடிகாரம், உள்ளீட்டு கம்பி [width_dataword-0:8] தரவு, வெளியீடு கம்பி [width_codeword-8:1] q); இறுதி தொகுதி
7.3 வெரிலாக் HDL முன்மாதிரி (ALTECC_DECODER)
பின்வரும் வெரிலாக் எச்டிஎல் முன்மாதிரி வெரிலாக் டிசைனில் உள்ளது File (.v) lpm.v இல் edasynthesis அடைவு.
module altecc_decoder #( அளவுரு intended_device_family = "பயன்படுத்தப்படாதது", அளவுரு lpm_pipeline = 0, அளவுரு அகலம்_குறியீடு = 8, அளவுரு width_dataword = 8, அளவுரு lpm_type = "altecc_decoder", அளவுரு lpm_type = "altecc_decoder", அளவுரு lpm_hint உள்ளிடவும் கம்பி கடிகாரம், உள்ளீட்டு கம்பி [width_codeword-1:0] தரவு, வெளியீட்டு கம்பி பிழை_சரிசெய்யப்பட்டது, வெளியீடு கம்பி பிழை_கண்டறியப்பட்டது, outut wire err_fatal, output wire [width_dataword-1:0] q); இறுதி தொகுதி
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 32
கருத்தை அனுப்பவும்
7. ALTECC (பிழை திருத்தக் குறியீடு: என்கோடர்/டிகோடர்) IP கோர் 683490 | 2020.10.05
7.4 VHDL கூறு அறிவிப்பு (ALTECC_ENCODER)
VHDL கூறு அறிவிப்பு VHDL வடிவமைப்பில் அமைந்துள்ளது File (.vhd) altera_mf_components.vhd இல் நூலகங்கள்vhdlaltera_mf அடைவு.
கூறு altecc_encoder generic ( intended_device_family:string := “unused”; lpm_pipeline:natural := 0; width_codeword:natural := 8; width_dataword:natural := 8; lpm_hint:string := “UNUSED_coder”; lpm_incoder ”); port(aclr:in std_logic := '0'; clock:in std_logic := '0'; clocken:in std_logic := '1'; தரவு: std_logic_vector (width_dataword-1 downto 0); q:out std_logic_thvector(widd_word -1 முதல் 0 வரை)); இறுதி கூறு;
7.5 VHDL கூறு அறிவிப்பு (ALTECC_DECODER)
VHDL கூறு அறிவிப்பு VHDL வடிவமைப்பில் அமைந்துள்ளது File (.vhd) altera_mf_components.vhd இல் நூலகங்கள்vhdlaltera_mf அடைவு.
கூறு altecc_decoder generic ( intended_device_family:string := “unused”; lpm_pipeline:natural := 0; width_codeword:natural := 8; width_dataword:natural := 8; lpm_hint:string := “UN : USED_te_string”; ”); port (aclr:in std_logic := '0'; clock:in std_logic := '0'; clocken:in std_logic := '1'; தரவு: std_logic_vector (width_codeword-1 downto 0); err_corrected : out std_logic; : வெளியே std_logic; இறுதி கூறு;
7.6 VHDL LIBRARY_USE பிரகடனம்
நீங்கள் VHDL உபகரணப் பிரகடனத்தைப் பயன்படுத்தினால், VHDL லைப்ரரி-பயன்பாட்டு அறிவிப்பு தேவையில்லை.
லைப்ரரி அல்டெரா_எம்எஃப்; altera_mf.altera_mf_components.all பயன்படுத்தவும்;
7.7. குறியாக்கி துறைமுகங்கள்
பின்வரும் அட்டவணைகள் ALTECC குறியாக்கி IP மையத்திற்கான உள்ளீடு மற்றும் வெளியீட்டு போர்ட்களை பட்டியலிடுகிறது.
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 33
7. ALTECC (பிழை திருத்தக் குறியீடு: என்கோடர்/டிகோடர்) IP கோர் 683490 | 2020.10.05
அட்டவணை 22. ALTECC குறியாக்கி உள்ளீட்டு துறைமுகங்கள்
துறைமுக பெயர்
தேவை
விளக்கம்
தகவல்கள்[]
ஆம்
தரவு உள்ளீட்டு போர்ட். உள்ளீட்டு போர்ட்டின் அளவு WIDTH_DATAWORD ஐப் பொறுத்தது
அளவுரு மதிப்பு. தரவு[] போர்ட்டில் குறியிடப்பட வேண்டிய மூலத் தரவு உள்ளது.
கடிகாரம்
ஆம்
குறியாக்க செயல்பாட்டை ஒத்திசைக்க கடிகார சமிக்ஞையை வழங்கும் கடிகார உள்ளீட்டு போர்ட்.
LPM_PIPELINE மதிப்பு 0ஐ விட அதிகமாக இருக்கும் போது கடிகார போர்ட் தேவைப்படுகிறது.
கடிகாரம்
இல்லை
கடிகாரத்தை இயக்கு. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 1 ஆகும்.
aclr
இல்லை
ஒத்திசைவற்ற தெளிவான உள்ளீடு. செயலில் உள்ள உயர் aclr சிக்னல் எந்த நேரத்திலும் பயன்படுத்தப்படலாம்
ஒத்திசைவின்றி பதிவேடுகளை அழிக்கவும்.
அட்டவணை 23. ALTECC குறியாக்கி வெளியீடு துறைமுகங்கள்
துறைமுகத்தின் பெயர் q[]
தேவை ஆம்
விளக்கம்
குறியிடப்பட்ட தரவு வெளியீடு போர்ட். வெளியீடு போர்ட்டின் அளவு WIDTH_CODEWORD அளவுரு மதிப்பைப் பொறுத்தது.
7.8 டிகோடர் துறைமுகங்கள்
பின்வரும் அட்டவணைகள் ALTECC டிகோடர் IP மையத்திற்கான உள்ளீடு மற்றும் வெளியீட்டு போர்ட்களை பட்டியலிடுகிறது.
அட்டவணை 24. ALTECC டிகோடர் உள்ளீடு துறைமுகங்கள்
துறைமுக பெயர்
தேவை
விளக்கம்
தகவல்கள்[]
ஆம்
தரவு உள்ளீட்டு போர்ட். உள்ளீட்டு போர்ட்டின் அளவு WIDTH_CODEWORD அளவுரு மதிப்பைப் பொறுத்தது.
கடிகாரம்
ஆம்
குறியாக்க செயல்பாட்டை ஒத்திசைக்க கடிகார சமிக்ஞையை வழங்கும் கடிகார உள்ளீட்டு போர்ட். LPM_PIPELINE மதிப்பு 0ஐ விட அதிகமாக இருக்கும் போது கடிகார போர்ட் தேவைப்படுகிறது.
கடிகாரம்
இல்லை
கடிகாரத்தை இயக்கு. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 1 ஆகும்.
aclr
இல்லை
ஒத்திசைவற்ற தெளிவான உள்ளீடு. செயலில் உள்ள உயர் aclr சமிக்ஞையை எந்த நேரத்திலும் ஒத்திசைவின்றி பதிவேடுகளை அழிக்க பயன்படுத்தலாம்.
அட்டவணை 25. ALTECC டிகோடர் அவுட்புட் போர்ட்கள்
துறைமுகத்தின் பெயர் q[]
தேவை ஆம்
விளக்கம்
டிகோட் செய்யப்பட்ட தரவு வெளியீடு போர்ட். வெளியீடு போர்ட்டின் அளவு WIDTH_DATAWORD அளவுரு மதிப்பைப் பொறுத்தது.
பிழை_கண்டறியப்பட்டது ஆம்
பெறப்பட்ட தரவின் நிலையை பிரதிபலிக்கும் கொடி சமிக்ஞை மற்றும் கண்டறியப்பட்ட பிழைகளைக் குறிப்பிடுகிறது.
err_correcte ஆம் டி
பெறப்பட்ட தரவின் நிலையை பிரதிபலிக்கும் கொடி சமிக்ஞை. ஒற்றை பிட் பிழை கண்டுபிடிக்கப்பட்டு சரி செய்யப்பட்டது என்பதைக் குறிக்கிறது. தரவு ஏற்கனவே சரி செய்யப்பட்டுள்ளதால் நீங்கள் அதைப் பயன்படுத்தலாம்.
பிழை_அபாயகரமான
ஆம்
பெறப்பட்ட தரவின் நிலையை பிரதிபலிக்கும் கொடி சமிக்ஞை. கண்டுபிடிக்கப்பட்ட இரட்டை பிட் பிழையைக் குறிக்கிறது, ஆனால் சரி செய்யப்படவில்லை. இந்த சமிக்ஞை வலியுறுத்தப்பட்டால், நீங்கள் தரவைப் பயன்படுத்தக்கூடாது.
syn_e
இல்லை
சமநிலையில் ஒற்றை-பிட் பிழை கண்டறியப்பட்டால், வெளியீட்டு சமிக்ஞை அதிகமாகச் செல்லும்
பிட்கள்.
7.9 குறியாக்கி அளவுருக்கள்
பின்வரும் அட்டவணை ALTECC குறியாக்கி IP மையத்திற்கான அளவுருக்களை பட்டியலிடுகிறது.
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 34
கருத்தை அனுப்பவும்
7. ALTECC (பிழை திருத்தக் குறியீடு: என்கோடர்/டிகோடர்) IP கோர் 683490 | 2020.10.05
அட்டவணை 26. ALTECC குறியாக்கி அளவுருக்கள்
அளவுரு பெயர்
வகை
தேவை
விளக்கம்
WIDTH_DATAWORD
முழு எண் ஆம்
மூலத் தரவின் அகலத்தைக் குறிப்பிடுகிறது. மதிப்புகள் 2 முதல் 64 வரை இருக்கும். தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 8 ஆகும்.
WIDTH_CODEWORD
முழு எண் ஆம்
தொடர்புடைய குறியீட்டு வார்த்தையின் அகலத்தைக் குறிப்பிடுகிறது. செல்லுபடியாகும் மதிப்புகள் 6, 72, 9 மற்றும் 17 ஐத் தவிர்த்து, 33 முதல் 65 வரை இருக்கும். தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 13 ஆகும்.
LPM_PIPELINE
முழு எண்
சுற்றுக்கான பைப்லைனைக் குறிப்பிடுகிறது. மதிப்புகள் 0 முதல் 2 வரை இருக்கும். மதிப்பு 0 என்றால், போர்ட்கள் பதிவு செய்யப்படாது. மதிப்பு 1 எனில், வெளியீடு துறைமுகங்கள் பதிவு செய்யப்படுகின்றன. மதிப்பு 2 எனில், உள்ளீடு மற்றும் வெளியீடு துறைமுகங்கள் பதிவு செய்யப்படுகின்றன. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 0 ஆகும்.
7.10. குறிவிலக்கி அளவுருக்கள்
பின்வரும் அட்டவணை ALTECC டிகோடர் ஐபி கோர் அளவுருக்களை பட்டியலிடுகிறது.
அட்டவணை 27. ALTECC டிகோடர் அளவுருக்கள்
அளவுருவின் பெயர் WIDTH_DATAWORD
முழு எண் என டைப் செய்யவும்
தேவை
விளக்கம்
ஆம்
மூலத் தரவின் அகலத்தைக் குறிப்பிடுகிறது. மதிப்புகள் 2 முதல் 64. தி
இயல்புநிலை மதிப்பு 8.
WIDTH_CODEWORD
முழு எண்
ஆம்
தொடர்புடைய குறியீட்டு வார்த்தையின் அகலத்தைக் குறிப்பிடுகிறது. மதிப்புகள் 6
72, 9, 17 மற்றும் 33 ஐத் தவிர்த்து 65 வரை. தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு
13 ஆகும்.
LPM_PIPELINE
முழு எண்
இல்லை
சுற்றுப் பதிவேட்டைக் குறிப்பிடுகிறது. மதிப்புகள் 0 முதல் 2 வரை இருக்கும்
மதிப்பு 0, எந்த பதிவும் செயல்படுத்தப்படவில்லை. மதிப்பு 1 எனில், தி
வெளியீடு பதிவு செய்யப்பட்டுள்ளது. மதிப்பு 2 எனில், உள்ளீடு மற்றும் தி
வெளியீடு பதிவு செய்யப்பட்டுள்ளது. மதிப்பு 2 ஐ விட அதிகமாக இருந்தால், கூடுதல்
பதிவேடுகள் கூடுதல் வெளியீட்டில் செயல்படுத்தப்படுகின்றன
தாமதங்கள். தவிர்க்கப்பட்டால், இயல்புநிலை மதிப்பு 0 ஆகும்.
'syn_e' போர்ட்டை உருவாக்கவும்
முழு எண்
இல்லை
syn_e போர்ட்டை உருவாக்க இந்த அளவுருவை இயக்கவும்.
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 35
683490 | 2020.10.05 கருத்தை அனுப்பவும்
8. Intel FPGA மல்டிப்ளை ஆடர் ஐபி கோர்
படம் 9.
Intel FPGA Multiply Adder (Intel Stratix 10, Intel Arria 10, மற்றும் Intel Cyclone 10 GX சாதனங்கள்) அல்லது ALTERA_MULT_ADD (Arria V, Stratix V மற்றும் Cyclone V சாதனங்கள்) IP கோர் பெருக்கி-சேர்ப்பானை செயல்படுத்த உங்களை அனுமதிக்கிறது.
பின்வரும் படம் Intel FPGA மல்டிப்ளை சேர்டர் அல்லது ALTERA_MULT_ADD IP மையத்திற்கான போர்ட்களைக் காட்டுகிறது.
இன்டெல் FPGA மல்டிப்ளை சேர்டர் அல்லது ALTERA_MULT_ADD போர்ட்கள்
Intel FPGA மல்டிப்ளை சேர்டர் அல்லது ALTERA_MULT_ADD
டேட்டா[] சிக்னா டேட்டாப்[] சைன்ப் டேட்டாக்[] கோஃப்செல்0[] கோஃப்செல்
accum_sload chainin[]
scanouta[] முடிவு[]
aclr0 aclr1
inst
ஒரு பெருக்கி-சேர்ப்பான் ஜோடி உள்ளீடுகளை ஏற்றுக்கொள்கிறது, மதிப்புகளை ஒன்றாகப் பெருக்கி, பின்னர் மற்ற எல்லா ஜோடிகளின் தயாரிப்புகளிலும் சேர்க்கிறது அல்லது கழிக்கிறது.
உள்ளீட்டு தரவு அகலங்கள் அனைத்தும் 9-பிட்கள் அகலம் அல்லது சிறியதாக இருந்தால், 9 x 9 உள்ளமைவை ஆதரிக்கும் சாதனங்களுக்கு DSP பிளாக்கில் உள்ள 9 x 9 பிட் உள்ளீட்டு பெருக்கி உள்ளமைவை செயல்பாடு பயன்படுத்துகிறது. இல்லையெனில், DSP பிளாக் 18 × 18-பிட் உள்ளீட்டு பெருக்கிகளைப் பயன்படுத்தி 10 பிட்கள் மற்றும் 18 பிட்களுக்கு இடையேயான அகலங்களைக் கொண்ட தரவைச் செயலாக்குகிறது. பல Intel FPGA மல்டிப்ளை சேர்டர் அல்லது ALTERA_MULT_ADD ஐபி கோர்கள் ஒரு வடிவமைப்பில் ஏற்பட்டால், செயல்பாடுகள் பின்வருமாறு விநியோகிக்கப்படும்
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
ISO 9001:2015 பதிவு செய்யப்பட்டது
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
இயன்றவரை பல்வேறு டிஎஸ்பி தொகுதிகள் இந்த தொகுதிகளுக்கு ரூட்டிங் மிகவும் நெகிழ்வானதாக இருக்கும். ஒரு டிஎஸ்பி தொகுதிக்கு குறைவான பெருக்கிகள் சாதனத்தின் மற்ற பகுதிகளுக்கான பாதைகளைக் குறைப்பதன் மூலம் தொகுதிக்குள் அதிக ரூட்டிங் தேர்வுகளை அனுமதிக்கின்றன.
பின்வரும் சிக்னல்களுக்கான பதிவேடுகள் மற்றும் கூடுதல் பைப்லைன் பதிவேடுகள் DSP தொகுதிக்குள் வைக்கப்பட்டுள்ளன: · தரவு உள்ளீடு · கையொப்பமிடப்பட்ட அல்லது கையொப்பமிடப்படாத தேர்ந்தெடு · சேர் அல்லது கழித்தல் தேர்ந்தெடு · பெருக்கிகளின் தயாரிப்புகள்
வெளியீட்டு முடிவின் விஷயத்தில், முதல் பதிவு டிஎஸ்பி தொகுதியில் வைக்கப்படுகிறது. இருப்பினும் கூடுதல் தாமதப் பதிவேடுகள் தொகுதிக்கு வெளியே உள்ள தர்க்க கூறுகளில் வைக்கப்படுகின்றன. பெருக்கிக்கான தரவு உள்ளீடுகள், சிக்னல் உள்ளீடுகளைக் கட்டுப்படுத்துதல் மற்றும் சேர்ப்பவரின் வெளியீடுகள் உட்பட DSP தொகுதிக்கான புறமானது, மீதமுள்ள சாதனத்துடன் தொடர்புகொள்வதற்கு வழக்கமான ரூட்டிங்கைப் பயன்படுத்துகிறது. செயல்பாட்டில் உள்ள அனைத்து இணைப்புகளும் DSP தொகுதிக்குள் பிரத்யேக ரூட்டிங் பயன்படுத்துகின்றன. ஒரு பெருக்கியின் பதிவு செய்யப்பட்ட உள்ளீட்டுத் தரவை ஒரு பெருக்கியில் இருந்து அருகில் உள்ள பெருக்கிக்கு மாற்றுவதற்கான விருப்பத்தைத் தேர்ந்தெடுக்கும்போது, இந்த பிரத்யேக ரூட்டிங் ஷிப்ட் பதிவு சங்கிலிகளை உள்ளடக்கியது.
ஸ்ட்ராடிக்ஸ் V, மற்றும் Arria V சாதனத் தொடரில் உள்ள DSP தொகுதிகள் பற்றிய கூடுதல் தகவலுக்கு, இலக்கியம் மற்றும் தொழில்நுட்ப ஆவணப் பக்கத்தில் உள்ள அந்தந்த கையேடுகளின் DSP பிளாக்ஸ் அத்தியாயத்தைப் பார்க்கவும்.
தொடர்புடைய தகவல் AN 306: FPGA சாதனங்களில் பெருக்கிகளை செயல்படுத்துதல்
இன்டெல் எஃப்பிஜிஏ சாதனங்களில் டிஎஸ்பி மற்றும் மெமரி பிளாக்குகளைப் பயன்படுத்தி பெருக்கிகளை செயல்படுத்துவது பற்றிய கூடுதல் தகவலை வழங்குகிறது.
8.1 அம்சங்கள்
Intel FPGA மல்டிப்ளை சேர்டர் அல்லது ALTERA_MULT_ADD IP கோர் பின்வரும் அம்சங்களை வழங்குகிறது: · இரண்டு சிக்கலான பெருக்கல் செயல்பாடுகளைச் செய்ய ஒரு பெருக்கியை உருவாக்குகிறது
எண்கள் குறிப்பு: பூர்வீகமாக ஆதரிக்கப்படும் அளவை விட பெரிய பெருக்கிகளை உருவாக்கும்போது/
DSP தொகுதிகளின் அடுக்கின் விளைவாக செயல்திறன் தாக்கமாக இருக்கும். · 1 256 பிட்களின் தரவு அகலங்களை ஆதரிக்கிறது · கையொப்பமிடப்பட்ட மற்றும் கையொப்பமிடப்படாத தரவு பிரதிநிதித்துவ வடிவமைப்பை ஆதரிக்கிறது · உள்ளமைக்கக்கூடிய உள்ளீட்டு தாமதத்துடன் பைப்லைனிங்கை ஆதரிக்கிறது · கையொப்பமிடப்பட்ட மற்றும் கையொப்பமிடாத தரவு ஆதரவிற்கு இடையே மாறும் விருப்பத்தை வழங்குகிறது · கூட்டல் மற்றும் கழித்தல் செயல்பாட்டிற்கு இடையில் மாறும் விருப்பத்தை வழங்குகிறது · ஆதரவு விருப்பமான ஒத்திசைவற்ற மற்றும் ஒத்திசைவான தெளிவான மற்றும் கடிகாரம் உள்ளீட்டு போர்ட்களை செயல்படுத்துகிறது · சிஸ்டாலிக் தாமத பதிவு பயன்முறையை ஆதரிக்கிறது · ஒரு பெருக்கிக்கு 8 முன்-ஏற்றக் குணகங்களுடன் முன்-சேர்ப்பவரை ஆதரிக்கிறது · திரட்டி கருத்துக்களை நிரப்புவதற்கு முன்-ஏற்ற மாறிலியை ஆதரிக்கிறது
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 37
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
8.1.1. முன் சேர்ப்பவர்
முன்-சேர்ப்பதன் மூலம், பெருக்கிக்கு உணவளிப்பதற்கு முன்பு கூட்டல் அல்லது கழித்தல் செய்யப்படுகிறது.
ஐந்து முன் சேர்க்கும் முறைகள் உள்ளன: · எளிய முறை · குணகம் · உள்ளீட்டு முறை · சதுர முறை · நிலையான முறை
குறிப்பு:
முன்-சேர்ப்பவர் பயன்படுத்தப்படும்போது (முன்-சேர்ப்பவர் குணகம்/உள்ளீடு/சதுர முறை), பெருக்கிக்கான அனைத்து தரவு உள்ளீடுகளும் ஒரே கடிகார அமைப்பைக் கொண்டிருக்க வேண்டும்.
8.1.1.1. முன் சேர்க்கும் எளிய பயன்முறை
இந்த பயன்முறையில், இரண்டு செயல்களும் உள்ளீட்டு போர்ட்களிலிருந்து பெறப்படுகின்றன மற்றும் முன்-சேர்ப்பவர் பயன்படுத்தப்படுவதில்லை அல்லது புறக்கணிக்கப்படுவதில்லை. இது இயல்புநிலை பயன்முறையாகும்.
படம் 10. முன்-சேர்க்கும் எளிய முறை
a0 b0
பல0
முடிவு
8.1.1.2. முன்-சேர்ப்பவர் குணகம் பயன்முறை
இந்த பயன்முறையில், ஒரு பெருக்கி ஆபராண்ட் முன்-சேர்ப்பவரிடமிருந்து பெறப்படுகிறது, மற்றொன்று உள் குணக சேமிப்பகத்திலிருந்து பெறப்படுகிறது. குணக சேமிப்பு 8 முன்னமைக்கப்பட்ட மாறிலிகள் வரை அனுமதிக்கிறது. குணகம் தேர்வு சமிக்ஞைகள் coefsel[0..3].
இந்த முறை பின்வரும் சமன்பாட்டில் வெளிப்படுத்தப்படுகிறது.
பின்வருபவை பெருக்கியின் முன்-சேர்ப்பான் குணகம் பயன்முறையைக் காட்டுகிறது.
படம் 11. முன்-சேர்ப்பவர் குணகம் பயன்முறை
ப்ரெட்டர்
a0
பல0
+/-
முடிவு
b0
coefsel0 coef
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 38
கருத்தை அனுப்பவும்
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
8.1.1.3. முன்-சேர்ப்பாளர் உள்ளீட்டு முறை இந்த பயன்முறையில், ஒரு பெருக்கி ஆபராண்ட் முன்-சேர்ப்பவரிடமிருந்து பெறப்படுகிறது, மற்றொன்று டேட்டாக்[] உள்ளீட்டு போர்ட்டிலிருந்து பெறப்படுகிறது. இந்த முறை பின்வரும் சமன்பாட்டில் வெளிப்படுத்தப்படுகிறது.
பின்வருபவை பெருக்கியின் முன்-சேர்ப்பான் உள்ளீட்டு பயன்முறையைக் காட்டுகிறது.
படம் 12. முன்-சேர்ப்பான் உள்ளீட்டு முறை
a0 b0
பல0
+/-
முடிவு
c0
8.1.1.4. முன்-சேர்ப்பவர் சதுர முறை இந்த முறை பின்வரும் சமன்பாட்டில் வெளிப்படுத்தப்படுகிறது.
பின்வருபவை இரண்டு பெருக்கிகளின் முன்-சேர்ப்பவர் சதுர பயன்முறையைக் காட்டுகிறது.
படம் 13. முன்-சேர்ப்பவர் சதுர முறை
a0 b0
பல0
+/-
முடிவு
8.1.1.5. முன்-சேர்ப்பவர் நிலையான பயன்முறை
இந்த பயன்முறையில், ஒரு பெருக்கி ஆபராண்ட் உள்ளீடு போர்ட்டிலிருந்து பெறப்படுகிறது, மற்றொன்று உள் குணகம் சேமிப்பகத்திலிருந்து பெறப்படுகிறது. குணக சேமிப்பு 8 முன்னமைக்கப்பட்ட மாறிலிகள் வரை அனுமதிக்கிறது. குணகம் தேர்வு சமிக்ஞைகள் coefsel[0..3].
இந்த முறை பின்வரும் சமன்பாட்டில் வெளிப்படுத்தப்படுகிறது.
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 39
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
பின்வரும் படம் பெருக்கியின் முன்-சேர்ப்பான் மாறிலி பயன்முறையைக் காட்டுகிறது.
படம் 14. முன்-சேர்ப்பவர் நிலையான பயன்முறை
a0
பல0
முடிவு
coefsel0
கோஃப்
8.1.2. சிஸ்டாலிக் தாமத பதிவு
சிஸ்டாலிக் கட்டமைப்பில், உள்ளீட்டுத் தரவு தரவு இடையகமாகச் செயல்படும் பதிவேடுகளின் அடுக்கில் கொடுக்கப்படுகிறது. ஒவ்வொரு பதிவேடும் ஒரு உள்ளீட்டை வழங்குகிறதுample ஒரு பெருக்கிக்கு அது அந்தந்த குணகத்தால் பெருக்கப்படுகிறது. செயின் சேர்டர், பெருக்கியிலிருந்து படிப்படியாக இணைந்த முடிவுகளைச் சேமித்து, இறுதி முடிவை உருவாக்க, சங்கிலி[] உள்ளீட்டு போர்ட்டிலிருந்து முன்பு பதிவுசெய்த முடிவைச் சேமிக்கிறது. ஒவ்வொரு பெருக்கல்-சேர் உறுப்பும் ஒரு சுழற்சியால் தாமதப்படுத்தப்பட வேண்டும், இதனால் முடிவுகள் ஒன்றாகச் சேர்க்கப்படும்போது சரியான முறையில் ஒத்திசைக்கப்படும். ஒவ்வொரு அடுத்தடுத்த தாமதமும் குணகம் நினைவகம் மற்றும் அவற்றின் அந்தந்த பெருக்கல்-சேர் கூறுகளின் தரவு இடையக இரண்டையும் நிவர்த்தி செய்ய பயன்படுத்தப்படுகிறது. உதாரணமாகample, இரண்டாவது பெருக்கல் சேர் உறுப்புக்கான ஒற்றை தாமதம், மூன்றாவது பெருக்கல்-சேர் உறுப்புக்கு இரண்டு தாமதங்கள் மற்றும் பல.
படம் 15. சிஸ்டாலிக் பதிவுகள்
சிஸ்டாலிக் பதிவுகள்
x(t) c(0)
எஸ் -1
எஸ் -1
c(1)
எஸ் -1
எஸ் -1
c(2)
எஸ் -1
எஸ் -1
c(N-1)
எஸ் -1
எஸ் -1
எஸ் -1
S -1 y(t)
x(t) என்பது உள்ளீடு s இன் தொடர்ச்சியான ஸ்ட்ரீமின் முடிவுகளைக் குறிக்கிறதுampலெஸ் மற்றும் ஒய்(டி)
உள்ளீடுகளின் தொகுப்பின் கூட்டுத்தொகையைக் குறிக்கிறதுamples, மற்றும் காலப்போக்கில், அவற்றின் மூலம் பெருக்கப்படுகிறது
அந்தந்த குணகங்கள். உள்ளீடு மற்றும் வெளியீடு முடிவுகள் இரண்டும் இடமிருந்து வலமாகப் பாயும். c(0) to c(N-1) குணகங்களைக் குறிக்கிறது. சிஸ்டாலிக் தாமதப் பதிவேடுகள் S-1 ஆல் குறிக்கப்படுகின்றன, அதேசமயம் 1 ஒற்றை கடிகார தாமதத்தைக் குறிக்கிறது. சிஸ்டாலிக் தாமதப் பதிவேடுகள் இதில் சேர்க்கப்படுகின்றன
பைப்லைனிங்கிற்கான உள்ளீடுகள் மற்றும் வெளியீடுகளில் இருந்து முடிவுகளை உறுதி செய்யும் வகையில்
பெருக்கி இயக்கம் மற்றும் திரட்டப்பட்ட தொகைகள் ஒத்திசைவில் இருக்கும். இந்த செயலாக்க உறுப்பு
வடிகட்டுதல் செயல்பாட்டைக் கணக்கிடும் ஒரு சுற்று உருவாக்கப் பிரதியெடுக்கப்படுகிறது. இந்த செயல்பாடு உள்ளது
பின்வரும் சமன்பாட்டில் வெளிப்படுத்தப்பட்டுள்ளது.
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 40
கருத்தை அனுப்பவும்
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
N என்பது திரட்டிக்குள் நுழைந்த தரவு சுழற்சிகளின் எண்ணிக்கையைக் குறிக்கிறது, y(t) என்பது t நேரத்தில் வெளியீட்டைக் குறிக்கிறது, A(t) t நேரத்தில் உள்ளீட்டைக் குறிக்கிறது, மேலும் B(i) குணகங்களாகும். சமன்பாட்டில் உள்ள t மற்றும் i ஆகியவை ஒரு குறிப்பிட்ட நேரத்துடன் ஒத்திருக்கும், எனவே வெளியீட்டைக் கணக்கிட sample y(t) நேரத்தில் t, உள்ளீடு s ஒரு குழுampநேரம் N வெவ்வேறு புள்ளிகளில் les, அல்லது A(n), A(n-1), A(n-2), … A(n-N+1) தேவை. N உள்ளீடுகளின் குழு samples ஆனது N குணகங்களால் பெருக்கப்பட்டு, ஒன்றாகச் சுருக்கப்பட்டு இறுதி முடிவு y ஐ உருவாக்குகிறது.
சிஸ்டாலிக் ரிஜிஸ்டர் ஆர்கிடெக்சர், சம்-ஆஃப்-2 மற்றும் சம்-ஆஃப்-4 முறைகளுக்கு மட்டுமே கிடைக்கும். இரண்டு சிஸ்டாலிக் பதிவு கட்டமைப்பு முறைகளுக்கும், முதல் சங்கிலி சமிக்ஞை 0 உடன் இணைக்கப்பட வேண்டும்.
பின்வரும் படம் 2 பெருக்கிகளின் சிஸ்டாலிக் தாமதப் பதிவு செயல்படுத்தலைக் காட்டுகிறது.
படம் 16. 2 பெருக்கிகளின் சிஸ்டாலிக் தாமதப் பதிவேடு செயல்படுத்தல்
சங்கிலி
a0
பல0
+/-
b0
a1
பல1
+/-
b1
முடிவு
இரண்டு பெருக்கிகளின் கூட்டுத்தொகை பின்வரும் சமன்பாட்டில் வெளிப்படுத்தப்படுகிறது.
பின்வரும் படம் 4 பெருக்கிகளின் சிஸ்டாலிக் தாமதப் பதிவு செயல்படுத்தலைக் காட்டுகிறது.
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 41
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
படம் 17. 4 பெருக்கிகளின் சிஸ்டாலிக் தாமதப் பதிவேடு செயல்படுத்தல்
சங்கிலி
a0
பல0
+/-
b0
a1
பல1
+/-
b1
a2
பல2
+/-
b2
a3
பல3
+/-
b3
முடிவு
நான்கு பெருக்கிகளின் கூட்டுத்தொகை பின்வரும் சமன்பாட்டில் வெளிப்படுத்தப்படுகிறது. படம் 18. 4 பெருக்கிகளின் கூட்டுத்தொகை
பின்வருபவை அட்வான்களை பட்டியலிடுகிறதுtagசிஸ்டாலிக் பதிவு செயல்படுத்தல்: · டிஎஸ்பி வள பயன்பாட்டை குறைக்கிறது · சங்கிலி சேர்ப்பான் கட்டமைப்பைப் பயன்படுத்தி டிஎஸ்பி தொகுதியில் திறமையான மேப்பிங்கை செயல்படுத்துகிறது
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 42
கருத்தை அனுப்பவும்
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
8.1.3. கான்ஸ்டன்ட் முன் ஏற்றவும்
ப்ரீ-லோட் மாறிலியானது குவிப்பான் செயல்பாட்டினைக் கட்டுப்படுத்துகிறது மற்றும் குவிப்பானின் பின்னூட்டத்தை நிறைவு செய்கிறது. செல்லுபடியாகும் LOADCONST_VALUE வரம்பு 0. நிலையான மதிப்பு 64Nக்கு சமம், இங்கு N = LOADCONST_VALUE. LOADCONST_VALUE ஆனது 2 ஆக அமைக்கப்படும் போது, நிலையான மதிப்பு 64 க்கு சமமாக இருக்கும். இந்தச் செயல்பாட்டை ஒரு சார்பு ரவுண்டிங்காகப் பயன்படுத்தலாம்.
பின்வரும் படம் முன்-சுமை நிலையான செயலாக்கத்தைக் காட்டுகிறது.
படம் 19. ப்ரீ-லோட் கான்ஸ்டன்ட்
திரட்டி கருத்து
நிலையான
a0
பல0
+/-
b0
a1
பல1
+/b1
முடிவு
accum_sload sload_accum
பிற பெருக்கி செயலாக்கங்களுக்கு பின்வரும் ஐபி கோர்களைப் பார்க்கவும்: · ALTMULT_ACCUM · ALTMEMMULT · LPM_MULT
8.1.4. இரட்டைக் குவிப்பான்
இரட்டைக் குவிப்பான் அம்சம், குவிப்பான் பின்னூட்டப் பாதையில் கூடுதல் பதிவேட்டைச் சேர்க்கிறது. இரட்டைக் குவிப்பான் பதிவேடு வெளியீட்டுப் பதிவேட்டைப் பின்தொடர்கிறது, இதில் கடிகாரம், கடிகாரம் செயல்படுத்துதல் மற்றும் aclr ஆகியவை அடங்கும். கூடுதல் குவிப்பான் பதிவு ஒரு சுழற்சி தாமதத்துடன் விளைகிறது. இந்த அம்சம் ஒரே ஆதார எண்ணிக்கையுடன் இரண்டு திரட்டி சேனல்களை வைத்திருக்க உதவுகிறது.
பின்வரும் படம் இரட்டைக் குவிப்பான் செயல்படுத்தலைக் காட்டுகிறது.
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 43
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
படம் 20. இரட்டைக் குவிப்பான்
Dou ble Accu mulator Register
Accu mulator feedba ck
a0
பல0
+/-
b0
a1
பல1
+/b1
வெளியீடு முடிவு வெளியீடு பதிவு
8.2 வெரிலாக் HDL முன்மாதிரி
Intel FPGA மல்டிப்ளை சேர்டர் அல்லது ALTERA_MULT_ADD Verilog HDL முன்மாதிரியை நீங்கள் காணலாம் file (altera_mult_add_rtl.v) இல் நூலகங்கள்மெகாசெயல்பாடுகள் அடைவு.
8.3 VHDL கூறு அறிவிப்பு
VHDL கூறு அறிவிப்பு altera_lnsim_components.vhd இல் உள்ளது librariesvhdl altera_lnsim அடைவு.
8.4 VHDL LIBRARY_USE பிரகடனம்
நீங்கள் VHDL உபகரணப் பிரகடனத்தைப் பயன்படுத்தினால், VHDL லைப்ரரி-பயன்பாட்டு அறிவிப்பு தேவையில்லை.
லைப்ரரி அல்டெரா_எம்எஃப்; altera_mf.altera_mf_components.all பயன்படுத்தவும்;
8.5 சிக்னல்கள்
பின்வரும் அட்டவணைகள் மல்டிப்ளை ஆடர் இன்டெல் FPGA IPor ALTERA_MULT_ADD IP மையத்தின் உள்ளீடு மற்றும் வெளியீட்டு சமிக்ஞைகளை பட்டியலிடுகிறது.
அட்டவணை 28. பெருக்கி ஆடர் இன்டெல் FPGA IPor ALTERA_MULT_ADD உள்ளீட்டு சமிக்ஞைகள்
சிக்னல்
தேவை
விளக்கம்
dataa_0[]/dataa_1[]/
ஆம்
dataa_2[]/dataa_3[]
பெருக்கிக்கு தரவு உள்ளீடு. உள்ளீட்டு போர்ட் [NUMBER_OF_MULTIPLIERS * WIDTH_A – 1 … 0] அகலம்
தொடர்ந்தது…
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 44
கருத்தை அனுப்பவும்
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
சிக்னல் datab_0[]/datab_1[]/ datab_2[]/datab_3[] datac_0[] /datac_1[]/ datac_2[]/datac_3[] clock[1:0] aclr[1:0] sclr[1:0] ena [1:0] அடையாளம்
அடையாளம்
ஸ்கேனினா[] அக்யூம்_ஸ்லோட்
தேவை ஆம் இல்லை
இல்லை இல்லை இல்லை இல்லை இல்லை
இல்லை
இல்லை இல்லை
விளக்கம்
இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சிக்னல்களுக்கு தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. இந்த சிக்னல்களுக்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படுகிறது.
பெருக்கிக்கு தரவு உள்ளீடு. உள்ளீட்டு சமிக்ஞை [NUMBER_OF_MULTIPLIERS * WIDTH_B – 1 … 0] அகலம் இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சமிக்ஞைகளுக்கு தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. இந்த சிக்னல்களுக்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படுகிறது.
பெருக்கிக்கு தரவு உள்ளீடு. உள்ளீட்டு சமிக்ஞை [NUMBER_OF_MULTIPLIERS * WIDTH_C – 1, … 0] அகலம் இந்த சிக்னல்களை இயக்க தேர்ந்தெடு ப்ரீடர் பயன்முறை அளவுருவுக்கு INPUT ஐத் தேர்ந்தெடுக்கவும். இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சிக்னல்களுக்கு தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. இந்த சிக்னல்களுக்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படுகிறது.
தொடர்புடைய பதிவேட்டில் கடிகார உள்ளீடு போர்ட். இந்த சிக்னல் ஐபி மையத்தில் உள்ள எந்தப் பதிவேட்டாலும் பயன்படுத்தப்படலாம். இந்த IPக்கான உருவகப்படுத்துதல் மாதிரியானது, இந்த சமிக்ஞைகளுக்கு தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. இந்த சமிக்ஞைகளுக்கு நீங்கள் X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படுகிறது.
தொடர்புடைய பதிவேட்டில் ஒத்திசைவற்ற தெளிவான உள்ளீடு. இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சிக்னல்களுக்கு தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. இந்த சிக்னல்களுக்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படுகிறது.
தொடர்புடைய பதிவேட்டில் ஒத்திசைவான தெளிவான உள்ளீடு. இந்த ஐபிக்கான சிமுலேஷன் மாடல், இந்த சிக்னல்களுக்கு தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பு X ஐ ஆதரிக்கிறது. இந்த சிக்னல்களுக்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படுகிறது
தொடர்புடைய பதிவேட்டில் சமிக்ஞை உள்ளீட்டை இயக்கவும். இந்த IPக்கான உருவகப்படுத்துதல் மாதிரியானது, இந்த சமிக்ஞைகளுக்கு தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. இந்த சமிக்ஞைகளுக்கு நீங்கள் X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படுகிறது.
பெருக்கி உள்ளீடு A இன் எண் பிரதிநிதித்துவத்தைக் குறிப்பிடுகிறது. சிக்னா சமிக்ஞை அதிகமாக இருந்தால், பெருக்கி பெருக்கி உள்ளீடு A சமிக்ஞையை கையொப்பமிடப்பட்ட எண்ணாகக் கருதுகிறது. சிக்னா சமிக்ஞை குறைவாக இருந்தால், பெருக்கி பெருக்கி உள்ளீடு A சமிக்ஞையை கையொப்பமிடப்படாத எண்ணாகக் கருதுகிறது. இந்த சிக்னலை இயக்க பெருக்கிகள் A உள்ளீடுகள் அளவுருவின் பிரதிநிதித்துவ வடிவம் என்ன என்பதற்கு மாறி என்பதைத் தேர்ந்தெடுக்கவும். இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சிக்னலுக்கான தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. நீங்கள் இந்த உள்ளீட்டிற்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படும்.
பெருக்கி உள்ளீடு B சமிக்ஞையின் எண் பிரதிநிதித்துவத்தைக் குறிப்பிடுகிறது. சைன்ப் சிக்னல் அதிகமாக இருந்தால், பெருக்கி பெருக்கி உள்ளீடு பி சிக்னலை கையொப்பமிடப்பட்ட இரண்டின் நிரப்பு எண்ணாகக் கருதுகிறது. சைன்ப் சிக்னல் குறைவாக இருந்தால், பெருக்கி பெருக்கி உள்ளீடு பி சிக்னலை கையொப்பமிடாத எண்ணாகக் கருதுகிறது. இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சிக்னலுக்கான தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. நீங்கள் இந்த உள்ளீட்டிற்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படும்.
ஸ்கேன் சங்கிலி A. உள்ளீடு சமிக்ஞை [WIDTH_A – 1, … 0] அகலம். INPUT_SOURCE_A அளவுரு SCANA மதிப்பைக் கொண்டிருக்கும்போது, ஸ்கேனினா[] சமிக்ஞை தேவைப்படுகிறது.
திரட்டி மதிப்பு நிலையானதா என்பதை மாறும் வகையில் குறிப்பிடுகிறது. accum_sload சமிக்ஞை குறைவாக இருந்தால், பெருக்கி வெளியீடு திரட்டியில் ஏற்றப்படும். accum_sload மற்றும் sload_accum ஐ ஒரே நேரத்தில் பயன்படுத்த வேண்டாம்.
தொடர்ந்தது…
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 45
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
சிக்னல் sload_accum
சங்கிலி[] addnsub1
addnsub3
coefsel0[] coefsel1[] coefsel2[] coefsel3[]
தேவையான எண்
இல்லை இல்லை
இல்லை
இல்லை இல்லை இல்லை இல்லை
விளக்கம்
இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சிக்னலுக்கான தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. நீங்கள் இந்த உள்ளீட்டிற்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படும்.
திரட்டி மதிப்பு நிலையானதா என்பதை மாறும் வகையில் குறிப்பிடுகிறது. sload_accum சமிக்ஞை அதிகமாக இருந்தால், பெருக்கி வெளியீடு திரட்டியில் ஏற்றப்படும். accum_sload மற்றும் sload_accum ஐ ஒரே நேரத்தில் பயன்படுத்த வேண்டாம். இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சிக்னலுக்கான தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. நீங்கள் இந்த உள்ளீட்டிற்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படும்.
முந்தைய s இலிருந்து ஆடர் முடிவு உள்ளீடு பஸ்tagஇ. உள்ளீட்டு சமிக்ஞை [WIDTH_CHAININ – 1, … 0] அகலம்.
முதல் ஜோடி பெருக்கிகளில் இருந்து வெளியீடுகளில் கூட்டல் அல்லது கழித்தல் செய்யவும். முதல் ஜோடி பெருக்கிகளிலிருந்து வெளியீடுகளைச் சேர்க்க addnsub1 சமிக்ஞையை உள்ளீடு 1. முதல் ஜோடி பெருக்கிகளிலிருந்து வெளியீடுகளைக் கழிக்க addnsub0 சமிக்ஞைக்கு 1 ஐ உள்ளிடவும். இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சிக்னலுக்கான தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. நீங்கள் இந்த உள்ளீட்டிற்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படுகிறது.
முதல் ஜோடி பெருக்கிகளில் இருந்து வெளியீடுகளில் கூட்டல் அல்லது கழித்தல் செய்யவும். இரண்டாவது ஜோடி பெருக்கிகளிலிருந்து வெளியீடுகளைச் சேர்க்க addnsub1 சமிக்ஞைக்கு 3 ஐ உள்ளிடவும். முதல் ஜோடி பெருக்கிகளிலிருந்து வெளியீடுகளைக் கழிக்க addnsub0 சமிக்ஞைக்கு 3 ஐ உள்ளிடவும். இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சிக்னலுக்கான தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. நீங்கள் இந்த உள்ளீட்டிற்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படும்.
முதல் பெருக்கிக்கு குணகம் உள்ளீட்டு சமிக்ஞை[0:3]. இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சிக்னலுக்கான தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. நீங்கள் இந்த உள்ளீட்டிற்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படுகிறது.
இரண்டாவது பெருக்கிக்கு குணகம் உள்ளீட்டு சமிக்ஞை[0:3]. இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சிக்னலுக்கான தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. நீங்கள் இந்த உள்ளீட்டிற்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படும்.
மூன்றாம் பெருக்கத்திற்கு குணகம் உள்ளீட்டு சமிக்ஞை[0:3]. இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சிக்னலுக்கான தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. நீங்கள் இந்த உள்ளீட்டிற்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படும்.
நான்காவது பெருக்கிக்கு குணகம் உள்ளீட்டு சமிக்ஞை [0:3]. இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது இந்த சிக்னலுக்கான தீர்மானிக்கப்படாத உள்ளீட்டு மதிப்பை (X) ஆதரிக்கிறது. நீங்கள் இந்த உள்ளீட்டிற்கு X மதிப்பை வழங்கும்போது, X மதிப்பு வெளியீட்டு சமிக்ஞைகளில் பரப்பப்படும்.
அட்டவணை 29. ஆடர் இன்டெல் FPGA ஐபி வெளியீட்டு சமிக்ஞைகளை பெருக்கவும்
சிக்னல்
தேவை
விளக்கம்
விளைவாக []
ஆம்
பெருக்கி வெளியீட்டு சமிக்ஞை. வெளியீட்டு சமிக்ஞை [WIDTH_RESULT – 1 … 0] அகலம்
இந்த ஐபிக்கான உருவகப்படுத்துதல் மாதிரியானது தீர்மானிக்கப்படாத வெளியீட்டு மதிப்பை (X) ஆதரிக்கிறது. நீங்கள் X மதிப்பை உள்ளீடாக வழங்கும்போது, X மதிப்பு இந்த சமிக்ஞையில் பரப்பப்படுகிறது.
ஸ்கானௌடா []
இல்லை
ஸ்கேன் சங்கிலியின் வெளியீடு A. வெளியீட்டு சமிக்ஞை [WIDTH_A – 1..0] அகலம்.
பெருக்கிகளின் எண்களுக்கு 2க்கும் மேற்பட்டவற்றைத் தேர்ந்தெடுத்து, இந்த சிக்னலை இயக்க, அளவுருவுடன் இணைக்கப்பட்ட பெருக்கியின் உள்ளீடு A என்ன என்பதற்கு ஸ்கேன் செயின் உள்ளீட்டைத் தேர்வு செய்யவும்.
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 46
கருத்தை அனுப்பவும்
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
8.6. அளவுருக்கள்
8.6.1. பொது தாவல்
அட்டவணை 30. பொது தாவல்
அளவுரு
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
பெருக்கிகளின் எண்ணிக்கை என்ன?
number_of_m 1 – 4 பெருக்கிகள்
A width_a உள்ளீடு பேருந்துகள் எவ்வளவு அகலமாக இருக்க வேண்டும்?
1 - 256
B அகலம்_b உள்ளீடு பேருந்துகள் எவ்வளவு அகலமாக இருக்க வேண்டும்?
1 - 256
'முடிவு' வெளியீடு பஸ் எவ்வளவு அகலமாக இருக்க வேண்டும்?
அகலம்_முடிவு
1 - 256
ஒவ்வொரு கடிகாரத்திற்கும் ஒரு தொடர்புடைய கடிகாரத்தை இயக்கவும்
gui_associate On d_clock_enabl ஆஃப் இ
8.6.2. கூடுதல் முறைகள் தாவல்
அட்டவணை 31. கூடுதல் முறைகள் தாவல்
அளவுரு
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
வெளியீடுகள் கட்டமைப்பு
சேர்ப்பி அலகு வெளியீட்டை பதிவு செய்யவும்
gui_output_re ஆன்
கிஸ்டர்
ஆஃப்
கடிகார உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_output_re gister_clock
கடிகாரம்0 கடிகாரம்1 கடிகாரம்2
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_output_re gister_aclr
இல்லை ACLR0 ACLR1
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_output_re gister_sclr
இல்லை SCLR0 SCLR1
சேர்ப்பான் செயல்பாடு
முதல் ஜோடி பெருக்கிகளின் வெளியீடுகளில் என்ன செயல்பாடு செய்யப்பட வேண்டும்?
gui_multiplier 1_direction
சேர், துணை, மாறி
இயல்புநிலை மதிப்பு 1
16
விளக்கம்
ஒன்றாகச் சேர்க்கப்பட வேண்டிய பெருக்கிகளின் எண்ணிக்கை. மதிப்புகள் 1 முதல் 4 வரை. டேட்டா[] போர்ட்டின் அகலத்தைக் குறிப்பிடவும்.
16
டேட்டாப்[] போர்ட்டின் அகலத்தைக் குறிப்பிடவும்.
32
முடிவு[] போர்ட்டின் அகலத்தைக் குறிப்பிடவும்.
ஆஃப்
கடிகார இயக்கத்தை உருவாக்க இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும்
ஒவ்வொரு கடிகாரத்திற்கும்.
இயல்புநிலை மதிப்பு
விளக்கம்
ஆஃப் கடிகாரம்0
இல்லை இல்லை
ஆடர் தொகுதியின் வெளியீட்டுப் பதிவை இயக்க இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும்.
வெளியீட்டுப் பதிவேடுகளுக்கான கடிகார மூலத்தை இயக்கவும் குறிப்பிடவும் Clock0 , Clock1 அல்லது Clock2 ஐத் தேர்ந்தெடுக்கவும். இந்த அளவுருவை இயக்க, ஆடர் யூனிட்டின் பதிவு வெளியீட்டை நீங்கள் தேர்ந்தெடுக்க வேண்டும்.
ஆடர் வெளியீட்டுப் பதிவேட்டிற்கான ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, ஆடர் யூனிட்டின் பதிவு வெளியீட்டை நீங்கள் தேர்ந்தெடுக்க வேண்டும்.
ஆடர் வெளியீட்டுப் பதிவேட்டிற்கான ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, ஆடர் யூனிட்டின் பதிவு வெளியீட்டை நீங்கள் தேர்ந்தெடுக்க வேண்டும்.
சேர்
முதல் மற்றும் இரண்டாவது பெருக்கிகளுக்கு இடையே உள்ள வெளியீடுகளுக்கு கூட்டல் அல்லது கழித்தல் செயல்பாட்டைத் தேர்ந்தெடுக்கவும்.
· கூட்டல் செயல்பாட்டைச் செய்ய, சேர் என்பதைத் தேர்ந்தெடுக்கவும்.
· கழித்தல் செயல்பாட்டைச் செய்ய SUB ஐத் தேர்ந்தெடுக்கவும்.
· மாறும் கூட்டல்/கழித்தல் கட்டுப்பாட்டிற்கு addnsub1 போர்ட்டைப் பயன்படுத்த VARIABLE என்பதைத் தேர்ந்தெடுக்கவும்.
தொடர்ந்தது…
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 47
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
அளவுரு
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
'addnsub1' உள்ளீட்டை பதிவு செய்யவும்
gui_addnsub_ ஆன் மல்டிபிளையர்_ரெக் ஆஃப் ஐஸ்டர்1
கடிகார உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_addnsub_ multiplier_reg ister1_clock
கடிகாரம்0 கடிகாரம்1 கடிகாரம்2
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_addnsub_ multiplier_aclr 1
இல்லை ACLR0 ACLR1
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_addnsub_ multiplier_sclr 1
இல்லை SCLR0 SCLR1
இரண்டாவது ஜோடி பெருக்கிகளின் வெளியீடுகளில் என்ன செயல்பாடு செய்யப்பட வேண்டும்?
gui_multiplier 3_direction
சேர், துணை, மாறி
'addnsub3' உள்ளீட்டை பதிவு செய்யவும்
gui_addnsub_ ஆன் மல்டிபிளையர்_ரெக் ஆஃப் ஐஸ்டர்3
கடிகார உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_addnsub_ multiplier_reg ister3_clock
கடிகாரம்0 கடிகாரம்1 கடிகாரம்2
இயல்புநிலை மதிப்பு
ஆஃப் கடிகாரம்0 இல்லை எதுவும் சேர்க்க வேண்டாம்
ஆஃப் கடிகாரம்0
விளக்கம்
VARIABLE மதிப்பு தேர்ந்தெடுக்கப்பட்டால்: · addnsub1 சிக்னலை அதிக அளவில் இயக்கவும்
கூடுதல் செயல்பாடு. · addnsub1 சிக்னலை குறைவாக இயக்கவும்
கழித்தல் செயல்பாடு. இந்த அளவுருவை இயக்க, இரண்டுக்கும் மேற்பட்ட பெருக்கிகளைத் தேர்ந்தெடுக்க வேண்டும்.
addnsub1 போர்ட்டிற்கான உள்ளீட்டு பதிவை இயக்க இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும். இந்த அளவுருவை இயக்க, முதல் ஜோடி பெருக்கிகளின் வெளியீடுகளில் என்ன செயல்பாடு செய்யப்பட வேண்டும் என்பதற்கு நீங்கள் மாறி என்பதைத் தேர்ந்தெடுக்க வேண்டும்.
addnsub0 பதிவிற்கான உள்ளீட்டு கடிகார சமிக்ஞையைக் குறிப்பிட Clock1 , Clock2 அல்லது Clock1 ஐத் தேர்ந்தெடுக்கவும். இந்த அளவுருவை இயக்க, பதிவு 'addnsub1' உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
addnsub1 பதிவேட்டிற்கான ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, பதிவு 'addnsub1' உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
addnsub1 பதிவேட்டிற்கான ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, பதிவு 'addnsub1' உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
மூன்றாவது மற்றும் நான்காவது பெருக்கிகளுக்கு இடையே உள்ள வெளியீடுகளுக்கு கூட்டல் அல்லது கழித்தல் செயல்பாட்டைத் தேர்ந்தெடுக்கவும். · கூட்டலைச் செய்ய, சேர் என்பதைத் தேர்ந்தெடுக்கவும்
அறுவை சிகிச்சை. · கழித்தல் செய்ய SUB ஐ தேர்ந்தெடுக்கவும்
அறுவை சிகிச்சை. addnsub1 ஐப் பயன்படுத்த VARIABLE என்பதைத் தேர்ந்தெடுக்கவும்
டைனமிக் கூட்டல்/கழித்தல் கட்டுப்பாடுக்கான துறைமுகம். VARIABLE மதிப்பு தேர்ந்தெடுக்கப்பட்டால்: · கூட்டல் செயல்பாட்டிற்கு addnsub1 சிக்னலை அதிக அளவில் இயக்கவும். · கழித்தல் செயல்பாட்டிற்கு addnsub1 சிக்னலைக் குறைவாக இயக்கவும். பெருக்கிகளின் எண்ணிக்கை என்ன என்பதற்கு நீங்கள் மதிப்பு 4 ஐ தேர்ந்தெடுக்க வேண்டும்? இந்த அளவுருவை செயல்படுத்த.
addnsub3 சிக்னலுக்கான உள்ளீட்டு பதிவை இயக்க இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும். இந்த அளவுருவை இயக்க, இரண்டாவது ஜோடி பெருக்கிகளின் வெளியீடுகளில் என்ன செயல்பாடு செய்யப்பட வேண்டும் என்பதற்கு நீங்கள் மாறி என்பதைத் தேர்ந்தெடுக்க வேண்டும்.
addnsub0 பதிவிற்கான உள்ளீட்டு கடிகார சமிக்ஞையைக் குறிப்பிட Clock1 , Clock2 அல்லது Clock3 ஐத் தேர்ந்தெடுக்கவும். இந்த அளவுருவை இயக்க, பதிவு 'addnsub3' உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
தொடர்ந்தது…
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 48
கருத்தை அனுப்பவும்
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
அளவுரு
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
gui_addnsub_ multiplier_aclr 3
இல்லை ACLR0 ACLR1
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_addnsub_ multiplier_sclr 3
இல்லை SCLR0 SCLR1
துருவமுனைப்பு `use_subadd' ஐ இயக்கு
gui_use_subn ஆன்
சேர்க்க
ஆஃப்
8.6.3. பெருக்கிகள் தாவல்
அட்டவணை 32. பெருக்கிகள் தாவல்
அளவுரு
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
என்ன
gui_represent
பிரதிநிதித்துவ வடிவம் ation_a
பெருக்கிகள் A உள்ளீடுகளுக்கு?
கையொப்பமிடப்பட்டது, கையெழுத்திடப்படாதது, மாறக்கூடியது
`சிக்னா' உள்ளீட்டை பதிவு செய்யவும்
gui_register_s ஆன்
இக்னா
ஆஃப்
கடிகார உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_register_s igna_clock
கடிகாரம்0 கடிகாரம்1 கடிகாரம்2
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_register_s igna_aclr
இல்லை ACLR0 ACLR1
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_register_s igna_sclr
இல்லை SCLR0 SCLR1
என்ன
gui_represent
பிரதிநிதித்துவ வடிவம் ation_b
பெருக்கிகள் B உள்ளீடுகளுக்கு?
கையொப்பமிடப்பட்டது, கையெழுத்திடப்படாதது, மாறக்கூடியது
`signb' உள்ளீட்டை பதிவு செய்யவும்
gui_register_s ஆன்
ignb
ஆஃப்
இயல்பு மதிப்பு இல்லை
இல்லை
விளக்கம்
addnsub3 பதிவேட்டிற்கான ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, பதிவு 'addnsub3' உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
addnsub3 பதிவேட்டிற்கான ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, பதிவு 'addnsub3' உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
ஆஃப்
செயல்பாட்டைத் தலைகீழாக மாற்ற இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும்
addnsub இன்புட் போர்ட்.
கழித்தல் செயல்பாட்டிற்கு addnsub ஐ ஹையில் இயக்கவும்.
கூட்டல் செயல்பாட்டிற்கு addnsub ஐ லோவில் இயக்கவும்.
இயல்புநிலை மதிப்பு
விளக்கம்
கையெழுத்திடப்படாதது பெருக்கி A உள்ளீட்டிற்கான பிரதிநிதித்துவ வடிவமைப்பைக் குறிப்பிடவும்.
ஆஃப்
சிக்னாவை இயக்க இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும்
பதிவு.
மல்டிபிளையர்ஸ் ஏ உள்ளீடுகளுக்கான பிரதிநிதித்துவ வடிவம் என்ன? இந்த விருப்பத்தை இயக்க அளவுரு.
கடிகாரம்0
சிக்னா பதிவிற்கான உள்ளீட்டு கடிகார சமிக்ஞையை இயக்க மற்றும் குறிப்பிட Clock0 , Clock1 அல்லது Clock2 ஐத் தேர்ந்தெடுக்கவும்.
இந்த அளவுருவை இயக்க, பதிவு `signa' உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
இல்லை
சிக்னா பதிவேட்டிற்கான ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது.
இந்த அளவுருவை இயக்க, பதிவு `signa' உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
இல்லை
சிக்னா பதிவேட்டிற்கான ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது.
இந்த அளவுருவை இயக்க, பதிவு `signa' உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
கையொப்பமிடப்படாதது பெருக்கி B உள்ளீட்டிற்கான பிரதிநிதித்துவ வடிவமைப்பைக் குறிப்பிடவும்.
ஆஃப்
signb ஐ இயக்க இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும்
பதிவு.
தொடர்ந்தது…
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 49
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
அளவுரு
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
இயல்புநிலை மதிப்பு
கடிகார உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_register_s ignb_clock
கடிகாரம்0 கடிகாரம்1 கடிகாரம்2
கடிகாரம்0
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_register_s ignb_aclr
இல்லை ACLR0 ACLR1
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_register_s ignb_sclr
இல்லை SCLR0 SCLR1
உள்ளீட்டு கட்டமைப்பு
பெருக்கியின் உள்ளீடு A ஐ பதிவு செய்யவும்
கடிகார உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_input_reg ஆன்
ister_a
ஆஃப்
gui_input_reg ister_a_clock
கடிகாரம்0 கடிகாரம்1 கடிகாரம்2
இல்லை இல்லை
ஆஃப் கடிகாரம்0
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_input_reg ister_a_aclr
இல்லை ACLR0 ACLR1
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_input_reg ister_a_sclr
இல்லை SCLR0 SCLR1
பெருக்கியின் உள்ளீடு B ஐ பதிவு செய்யவும்
கடிகார உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_input_reg ஆன்
ister_b
ஆஃப்
gui_input_reg ister_b_clock
கடிகாரம்0 கடிகாரம்1 கடிகாரம்2
இல்லை இல்லை இனிய கடிகாரம்0
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_input_reg ister_b_aclr
இல்லை ACLR0 ACLR1
இல்லை
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_input_reg ister_b_sclr
இல்லை SCLR0 SCLR1
இல்லை
பெருக்கியின் உள்ளீடு A எதனுடன் இணைக்கப்பட்டுள்ளது?
gui_multiplier பெருக்கி உள்ளீடு பெருக்கி
_a_input
சங்கிலி உள்ளீட்டு உள்ளீட்டை ஸ்கேன் செய்யவும்
விளக்கம்
மல்டிபிளையர்ஸ் பி உள்ளீடுகளுக்கான பிரதிநிதித்துவ வடிவம் என்ன என்பதற்கு நீங்கள் மாறி மதிப்பைத் தேர்ந்தெடுக்க வேண்டும் இந்த விருப்பத்தை இயக்க அளவுரு.
சைன்ப் பதிவிற்கான உள்ளீட்டு கடிகார சமிக்ஞையை இயக்க மற்றும் குறிப்பிட Clock0 , Clock1 அல்லது Clock2 ஐத் தேர்ந்தெடுக்கவும். இந்த அளவுருவை இயக்க, பதிவு `signb' உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
சைன்ப் பதிவிற்கான ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, பதிவு `signb' உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
சைன்ப் பதிவிற்கான ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, பதிவு `signb' உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
டேட்டா இன்புட் பஸ்ஸிற்கான உள்ளீட்டு பதிவேட்டை இயக்க இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும்.
டேட்டா இன்புட் பஸ்ஸிற்கான பதிவு உள்ளீட்டு கடிகார சமிக்ஞையை இயக்க மற்றும் குறிப்பிட Clock0 , Clock1 அல்லது Clock2 ஐத் தேர்ந்தெடுக்கவும். இந்த அளவுருவை இயக்க பெருக்கியின் பதிவு உள்ளீடு A என்பதை நீங்கள் தேர்ந்தெடுக்க வேண்டும்.
தரவு உள்ளீட்டு பேருந்திற்கான பதிவு ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க பெருக்கியின் பதிவு உள்ளீடு A என்பதை நீங்கள் தேர்ந்தெடுக்க வேண்டும்.
தரவு உள்ளீட்டு பேருந்திற்கான பதிவு ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க பெருக்கியின் பதிவு உள்ளீடு A என்பதை நீங்கள் தேர்ந்தெடுக்க வேண்டும்.
டேட்டாப் உள்ளீட்டு பேருந்திற்கான உள்ளீட்டு பதிவேட்டை இயக்க இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும்.
டேட்டாப் உள்ளீட்டு பேருந்திற்கான பதிவு உள்ளீட்டு கடிகார சமிக்ஞையை இயக்க மற்றும் குறிப்பிட Clock0 , Clock1 அல்லது Clock2 ஐத் தேர்ந்தெடுக்கவும். இந்த அளவுருவை இயக்க பெருக்கியின் பதிவு உள்ளீடு B என்பதை நீங்கள் தேர்ந்தெடுக்க வேண்டும்.
டேட்டாப் உள்ளீட்டு பஸ்ஸிற்கான பதிவு ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க பெருக்கியின் பதிவு உள்ளீடு B என்பதை நீங்கள் தேர்ந்தெடுக்க வேண்டும்.
டேட்டாப் உள்ளீட்டு பஸ்ஸிற்கான பதிவு ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க பெருக்கியின் பதிவு உள்ளீடு B என்பதை நீங்கள் தேர்ந்தெடுக்க வேண்டும்.
பெருக்கியின் உள்ளீடு Aக்கான உள்ளீட்டு மூலத்தைத் தேர்ந்தெடுக்கவும்.
தொடர்ந்தது…
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 50
கருத்தை அனுப்பவும்
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
அளவுரு
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
ஸ்கேன்அவுட் ஒரு பதிவு கட்டமைப்பு
ஸ்கேன் சங்கிலியின் வெளியீட்டை பதிவு செய்யவும்
gui_scanouta ஆன்
_பதிவு
ஆஃப்
கடிகார உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_scanouta _register_cloc கே
கடிகாரம்0 கடிகாரம்1 கடிகாரம்2
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_scanouta _register_aclr
இல்லை ACLR0 ACLR1
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_scanouta _register_sclr
இல்லை SCLR0 SCLR1
8.6.4. ப்ரீடர் தாவல்
அட்டவணை 33. Preadder Tab
அளவுரு
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
ப்ரீடர் பயன்முறையைத் தேர்ந்தெடுக்கவும்
preadder_mo de
எளிய, COEF, உள்ளீடு, சதுரம், நிலையானது
இயல்புநிலை மதிப்பு
விளக்கம்
பெருக்கிக்கான ஆதாரமாக தரவு உள்ளீட்டு பஸ்ஸைப் பயன்படுத்த பெருக்கி உள்ளீட்டைத் தேர்ந்தெடுக்கவும். பெருக்கிக்கான ஆதாரமாக ஸ்கேனின் உள்ளீட்டு பஸ்ஸைப் பயன்படுத்த ஸ்கேன் செயின் உள்ளீட்டைத் தேர்ந்தெடுத்து ஸ்கேன்அவுட் அவுட்புட் பஸ்ஸை இயக்கவும். பெருக்கிகளின் எண்ணிக்கை என்ன என்பதற்கு 2, 3 அல்லது 4ஐத் தேர்ந்தெடுக்கும்போது இந்த அளவுரு கிடைக்கும்? அளவுரு.
ஆஃப் கடிகாரம்0 இல்லை இல்லை இல்லை
Scanouta வெளியீட்டு பேருந்திற்கான வெளியீட்டு பதிவேட்டை இயக்க இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும்.
பெருக்கியின் உள்ளீடு A எதனுடன் இணைக்கப்பட்டுள்ளது என்பதற்கு ஸ்கேன் செயின் உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்? இந்த விருப்பத்தை இயக்க அளவுரு.
Scanouta அவுட்புட் பஸ்ஸிற்கான பதிவு உள்ளீட்டு கடிகார சமிக்ஞையை இயக்க மற்றும் குறிப்பிட Clock0 , Clock1 அல்லது Clock2 ஐத் தேர்ந்தெடுக்கவும்.
இந்த விருப்பத்தை இயக்க, ஸ்கேன் செயின் அளவுருவின் பதிவு வெளியீட்டை இயக்க வேண்டும்.
Scanouta வெளியீட்டு பேருந்திற்கான பதிவு ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது.
இந்த விருப்பத்தை இயக்க, ஸ்கேன் செயின் அளவுருவின் பதிவு வெளியீட்டை இயக்க வேண்டும்.
Scanouta வெளியீடு பஸ்ஸிற்கான பதிவு ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது.
இந்த விருப்பத்தை இயக்க ஸ்கேன் சங்கிலி அளவுருவின் பதிவு வெளியீட்டை நீங்கள் தேர்ந்தெடுக்க வேண்டும்.
இயல்புநிலை மதிப்பு
எளிமையானது
விளக்கம்
ப்ரீடர் தொகுதிக்கான செயல்பாட்டு முறையைக் குறிப்பிடுகிறது. எளிமையானது: இந்த பயன்முறை ப்ரீடரைப் புறக்கணிக்கிறது. இது இயல்புநிலை பயன்முறையாகும். COEF: இந்த பயன்முறையானது ப்ரீடர் மற்றும் கோஃப்செல் உள்ளீடு பஸ்ஸின் வெளியீட்டை பெருக்கிக்கான உள்ளீடுகளாகப் பயன்படுத்துகிறது. உள்ளீடு: இந்த பயன்முறையானது ப்ரீடரின் வெளியீடு மற்றும் டேட்டாக் உள்ளீட்டு பேருந்தை பெருக்கிக்கான உள்ளீடுகளாகப் பயன்படுத்துகிறது. சதுரம்: இந்த பயன்முறை ப்ரீடரின் வெளியீட்டை பெருக்கிக்கான உள்ளீடுகளாகப் பயன்படுத்துகிறது.
தொடர்ந்தது…
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 51
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
அளவுரு
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
ப்ரெட்டர் திசையைத் தேர்ந்தெடுக்கவும்
gui_preadder ADD,
_திசை
SUB
C width_c உள்ளீடு பேருந்துகள் எவ்வளவு அகலமாக இருக்க வேண்டும்?
1 - 256
தரவு சி உள்ளீடு பதிவு கட்டமைப்பு
தரவு உள்ளீட்டை பதிவு செய்யவும்
gui_datac_inp ஆன்
ut_register
ஆஃப்
கடிகார உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_datac_inp ut_register_cl ock
கடிகாரம்0 கடிகாரம்1 கடிகாரம்2
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_datac_inp ut_register_a clr
இல்லை ACLR0 ACLR1
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_datac_inp ut_register_sc lr
இல்லை SCLR0 SCLR1
குணகங்கள்
கோஃப் அகலம் எவ்வளவு அகலமாக இருக்க வேண்டும்?
அகலம்
1 - 27
Coef பதிவு கட்டமைப்பு
கோஃப்செல் உள்ளீட்டை பதிவு செய்யவும்
gui_coef_regi On
ster
ஆஃப்
கடிகார உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_coef_regi ster_clock
கடிகாரம்0 கடிகாரம்1 கடிகாரம்2
இயல்புநிலை மதிப்பு
சேர்
16
விளக்கம்
நிலையானது: இந்த பயன்முறையானது ப்ரீடர் பைபாஸ் செய்யப்பட்ட டேட்டா இன்புட் பஸ் மற்றும் கோஃப்செல் இன்புட் பஸ்ஸை பெருக்கிக்கான உள்ளீடுகளாகப் பயன்படுத்துகிறது.
ப்ரெட்டரின் செயல்பாட்டைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, தேர்ந்தெடு ப்ரீடர் பயன்முறைக்கு பின்வருவனவற்றைத் தேர்ந்தெடுக்கவும்: · COEF · INPUT · SQUARE அல்லது · CONSTANT
சி உள்ளீடு பஸ்ஸிற்கான பிட்களின் எண்ணிக்கையைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, Preadder பயன்முறையைத் தேர்ந்தெடுக்க INPUT ஐத் தேர்ந்தெடுக்க வேண்டும்.
கடிகாரத்தில் 0 இல்லை இல்லை இல்லை
டேட்டாக் இன்புட் பஸ்ஸிற்கான உள்ளீட்டு பதிவேட்டை இயக்க இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும். இந்த விருப்பத்தை இயக்க, ப்ரீடர் பயன்முறை அளவுருவைத் தேர்ந்தெடுக்க INPUT ஐ அமைக்க வேண்டும்.
டேட்டாக் உள்ளீட்டுப் பதிவேட்டிற்கான உள்ளீட்டு கடிகார சமிக்ஞையைக் குறிப்பிட Clock0 , Clock1 அல்லது Clock2 ஐத் தேர்ந்தெடுக்கவும். இந்த அளவுருவை இயக்க, பதிவு தரவு உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
டேட்டாக் உள்ளீட்டுப் பதிவேட்டிற்கான ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, பதிவு தரவு உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
டேட்டாக் உள்ளீட்டுப் பதிவேட்டிற்கான ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, பதிவு தரவு உள்ளீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
18
பிட்களின் எண்ணிக்கையைக் குறிப்பிடுகிறது
coefsel உள்ளீடு பஸ்.
இந்த அளவுருவை இயக்க, ப்ரீடர் பயன்முறைக்கு நீங்கள் COEF அல்லது CONSTANT ஐ தேர்ந்தெடுக்க வேண்டும்.
கடிகாரம்0 இல்
coefsel உள்ளீட்டு பேருந்திற்கான உள்ளீட்டு பதிவேட்டை இயக்க இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும். இந்த அளவுருவை இயக்க, ப்ரீடர் பயன்முறைக்கு நீங்கள் COEF அல்லது CONSTANT ஐ தேர்ந்தெடுக்க வேண்டும்.
Coefsel உள்ளீட்டுப் பதிவேட்டிற்கான உள்ளீட்டு கடிகார சமிக்ஞையைக் குறிப்பிட Clock0 , Clock1 அல்லது Clock2 ஐத் தேர்ந்தெடுக்கவும். இந்த அளவுருவை இயக்க, coefsel உள்ளீட்டைப் பதிவு செய் என்பதைத் தேர்ந்தெடுக்க வேண்டும்.
தொடர்ந்தது…
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 52
கருத்தை அனுப்பவும்
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
அளவுரு
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
gui_coef_regi ster_aclr
இல்லை ACLR0 ACLR1
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன
gui_coef_regi ster_sclr
இல்லை SCLR0 SCLR1
குணகம்_0 கட்டமைப்பு
coef0_0 to coef0_7
0x00000 0xFFFFFF
குணகம்_1 கட்டமைப்பு
coef1_0 to coef1_7
0x00000 0xFFFFFF
குணகம்_2 கட்டமைப்பு
coef2_0 to coef2_7
0x00000 0xFFFFFF
குணகம்_3 கட்டமைப்பு
coef3_0 to coef3_7
0x00000 0xFFFFFF
8.6.5 திரட்டி தாவல்
அட்டவணை 34. திரட்டி தாவல்
அளவுரு
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
திரட்டியை இயக்கவா?
திரட்டி
ஆ ம் இல்லை
குவிப்பான் செயல்பாட்டு வகை என்ன?
acum_directi ADD,
on
SUB
இயல்பு மதிப்பு இல்லை
இல்லை
0x0000000 0
0x0000000 0
0x0000000 0
0x0000000 0
விளக்கம்
coefsel உள்ளீட்டுப் பதிவேட்டிற்கான ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, coefsel உள்ளீட்டைப் பதிவு செய் என்பதைத் தேர்ந்தெடுக்க வேண்டும்.
coefsel உள்ளீட்டுப் பதிவேட்டிற்கான ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த அளவுருவை இயக்க, coefsel உள்ளீட்டைப் பதிவு செய் என்பதைத் தேர்ந்தெடுக்க வேண்டும்.
இந்த முதல் பெருக்கிக்கான குணக மதிப்புகளைக் குறிப்பிடுகிறது. கோஃப் அகலம் எவ்வளவு அகலமாக இருக்க வேண்டும் என்பதில் குறிப்பிடப்பட்டுள்ள பிட்களின் எண்ணிக்கை ஒரே மாதிரியாக இருக்க வேண்டும்? அளவுரு. இந்த அளவுருவை இயக்க, ப்ரீடர் பயன்முறைக்கு நீங்கள் COEF அல்லது CONSTANT ஐ தேர்ந்தெடுக்க வேண்டும்.
இந்த இரண்டாவது பெருக்கிக்கான குணக மதிப்புகளைக் குறிப்பிடுகிறது. கோஃப் அகலம் எவ்வளவு அகலமாக இருக்க வேண்டும் என்பதில் குறிப்பிடப்பட்டுள்ள பிட்களின் எண்ணிக்கை ஒரே மாதிரியாக இருக்க வேண்டும்? அளவுரு. இந்த அளவுருவை இயக்க, ப்ரீடர் பயன்முறைக்கு நீங்கள் COEF அல்லது CONSTANT ஐ தேர்ந்தெடுக்க வேண்டும்.
இந்த மூன்றாவது பெருக்கிக்கான குணக மதிப்புகளைக் குறிப்பிடுகிறது. கோஃப் அகலம் எவ்வளவு அகலமாக இருக்க வேண்டும் என்பதில் குறிப்பிடப்பட்டுள்ள பிட்களின் எண்ணிக்கை ஒரே மாதிரியாக இருக்க வேண்டும்? அளவுரு. இந்த அளவுருவை இயக்க, ப்ரீடர் பயன்முறைக்கு COEF அல்லது CONSTANT ஐ தேர்ந்தெடுக்க வேண்டும்.
இந்த நான்காவது பெருக்கிக்கான குணக மதிப்புகளைக் குறிப்பிடுகிறது. கோஃப் அகலம் எவ்வளவு அகலமாக இருக்க வேண்டும் என்பதில் குறிப்பிடப்பட்டுள்ள பிட்களின் எண்ணிக்கை ஒரே மாதிரியாக இருக்க வேண்டும்? அளவுரு. இந்த அளவுருவை இயக்க, ப்ரீடர் பயன்முறைக்கு நீங்கள் COEF அல்லது CONSTANT ஐ தேர்ந்தெடுக்க வேண்டும்.
இயல்புநிலை மதிப்பு எண்
சேர்
விளக்கம்
திரட்டியை இயக்க ஆம் என்பதைத் தேர்ந்தெடுக்கவும். அக்யூமுலேட்டர் அம்சத்தைப் பயன்படுத்தும் போது, ஆடர் யூனிட்டின் பதிவு வெளியீட்டைத் தேர்ந்தெடுக்க வேண்டும்.
திரட்டியின் செயல்பாட்டைக் குறிப்பிடுகிறது: · கூட்டல் செயல்பாட்டிற்கு சேர் · கழித்தல் செயல்பாட்டிற்கு SUB. திரட்டியை இயக்குவதற்கு ஆம் என்பதைத் தேர்ந்தெடுக்க வேண்டுமா? இந்த விருப்பத்தை இயக்க அளவுரு.
தொடர்ந்தது…
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 53
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
அளவுரு
ப்ரீலோட் கான்ஸ்டன்ட் ப்ரீலோட் மாறிலியை இயக்கு
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
gui_ena_prelo On
ad_const
ஆஃப்
குவிப்பு துறைமுகத்தின் உள்ளீடு எதனுடன் இணைக்கப்பட்டுள்ளது?
gui_accumula ACCUM_SLOAD, te_port_select SLOAD_ACCUM
ப்ரீலோட் loadconst_val 0 – 64க்கான மதிப்பைத் தேர்ந்தெடுக்கவும்
நிலையான
ue
கடிகார உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_accum_sl oad_register_ கடிகாரம்
கடிகாரம்0 கடிகாரம்1 கடிகாரம்2
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_accum_sl oad_register_ aclr
இல்லை ACLR0 ACLR1
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_accum_sl oad_register_ sclr
இல்லை SCLR0 SCLR1
இரட்டைக் குவிப்பானை இயக்கு
gui_double_a ஆன்
ccum
ஆஃப்
இயல்புநிலை மதிப்பு
விளக்கம்
ஆஃப்
accum_sload ஐ இயக்கு அல்லது
sload_accum சமிக்ஞைகள் மற்றும் பதிவு உள்ளீடு
க்கு உள்ளீட்டை மாறும் வகையில் தேர்ந்தெடுக்க
குவிப்பான்.
accum_sload குறைவாகவோ அல்லது sload_accum ஆகவோ இருந்தால், பெருக்கி வெளியீடு திரட்டியில் செலுத்தப்படுகிறது.
accum_sload அதிகமாகவோ அல்லது sload_accum ஆகவோ இருக்கும் போது, பயனர் குறிப்பிட்ட ப்ரீலோட் மாறிலியானது திரட்டியில் ஊட்டப்படும்.
திரட்டியை இயக்குவதற்கு ஆம் என்பதைத் தேர்ந்தெடுக்க வேண்டுமா? இந்த விருப்பத்தை இயக்க அளவுரு.
ACCUM_SL OAD
accum_sload/ sload_accum சமிக்ஞையின் நடத்தையைக் குறிப்பிடுகிறது.
ACCUM_SLOAD: பெருக்கி வெளியீட்டை அக்யூமுலேட்டருக்கு ஏற்ற, accum_sload குறைவாக இயக்கவும்.
SLOAD_ACCUM: பெருக்கி வெளியீட்டை அக்யூமுலேட்டருக்கு ஏற்ற sload_accum ஐ அதிக அளவில் இயக்கவும்.
இந்த அளவுருவை இயக்குவதற்கு, ப்ரீலோட் மாறிலியை இயக்கு விருப்பத்தைத் தேர்ந்தெடுக்க வேண்டும்.
64
முன்னமைக்கப்பட்ட நிலையான மதிப்பைக் குறிப்பிடவும்.
இந்த மதிப்பு 2N ஆக இருக்கலாம், அங்கு N என்பது முன்னமைக்கப்பட்ட மாறிலி மதிப்பு.
N=64 ஆக இருக்கும்போது, அது ஒரு நிலையான பூஜ்ஜியத்தைக் குறிக்கிறது.
இந்த அளவுருவை இயக்குவதற்கு, ப்ரீலோட் மாறிலியை இயக்கு விருப்பத்தைத் தேர்ந்தெடுக்க வேண்டும்.
கடிகாரம்0
accum_sload/sload_accum பதிவுக்கான உள்ளீட்டு கடிகார சமிக்ஞையைக் குறிப்பிட Clock0 , Clock1 அல்லது Clock2 ஐத் தேர்ந்தெடுக்கவும்.
இந்த அளவுருவை இயக்குவதற்கு, ப்ரீலோட் மாறிலியை இயக்கு விருப்பத்தைத் தேர்ந்தெடுக்க வேண்டும்.
இல்லை
accum_sload/sload_accum பதிவிற்கான ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது.
இந்த அளவுருவை இயக்குவதற்கு, ப்ரீலோட் மாறிலியை இயக்கு விருப்பத்தைத் தேர்ந்தெடுக்க வேண்டும்.
இல்லை
accum_sload/sload_accum பதிவிற்கான ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது.
இந்த அளவுருவை இயக்குவதற்கு, ப்ரீலோட் மாறிலியை இயக்கு விருப்பத்தைத் தேர்ந்தெடுக்க வேண்டும்.
ஆஃப்
இரட்டைக் குவிப்பான் பதிவேட்டை இயக்குகிறது.
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 54
கருத்தை அனுப்பவும்
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
8.6.6. சிஸ்டாலிக்/செயின்அவுட் தாவல்
அட்டவணை 35. சிஸ்டாலிக்/செயின்அவுட் சேர்டர் தாவல்
அளவுரு செயின்அவுட் சேர்டரை இயக்கு
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
chainout_சேர் ஆம்,
er
எண்
செயின்அவுட் சேர்டர் செயல்பாட்டு வகை என்ன?
chainout_add ADD,
எர்_திசை
SUB
செயின்அவுட் சேர்ப்பருக்கான `negate' உள்ளீட்டை இயக்கவா?
Port_negate
PORT_USED, PORT_UNUSED
`நெகட்' உள்ளீட்டைப் பதிவு செய்யவா? negate_regist er
பதிவு செய்யப்படாதது, கடிகாரம்0, கடிகாரம்1, கடிகாரம்2, கடிகாரம்3
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
negate_aclr
இல்லை ACLR0 ACLR1
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
negate_sclr
இல்லை SCLR0 SCLR1
சிஸ்டாலிக் தாமதம்
சிஸ்டாலிக் தாமதப் பதிவேடுகளை இயக்கவும்
gui_systolic_d ஆன்
ஏலே
ஆஃப்
கடிகார உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_systolic_d CLOCK0,
எலே_கடிகாரம்
கடிகாரம்1,
இயல்புநிலை மதிப்பு
எண்
விளக்கம்
செயின்அவுட் ஆடர் தொகுதியை இயக்க ஆம் என்பதைத் தேர்ந்தெடுக்கவும்.
சேர்
செயின்அவுட் ஆடர் செயல்பாட்டைக் குறிப்பிடுகிறது.
கழித்தல் செயல்பாட்டிற்கு, Multipliers A உள்ளீடுகளுக்கான பிரதிநிதித்துவ வடிவம் என்ன என்பதற்கு SIGNED தேர்ந்தெடுக்கப்பட வேண்டும். மற்றும் பெருக்கிகள் B உள்ளீடுகளுக்கான பிரதிநிதித்துவ வடிவம் என்ன? பெருக்கிகள் தாவலில்.
PORT_UN பயன்படுத்தப்பட்டது
உள்ளீடு சிக்னலை நிராகரிக்க PORT_USED ஐத் தேர்ந்தெடுக்கவும்.
செயின்அவுட் சேர்டர் முடக்கப்பட்டிருக்கும் போது இந்த அளவுரு தவறானது.
UNREGIST ERED
நிராகரிப்பு உள்ளீட்டு சிக்னலுக்கான உள்ளீட்டுப் பதிவேட்டை இயக்குவதற்கு மற்றும் நிராகரிப்புப் பதிவிற்கான உள்ளீட்டு கடிகார சமிக்ஞையைக் குறிப்பிடுகிறது.
எதிர்மறை உள்ளீடு பதிவு தேவையில்லை என்றால், பதிவுசெய்யப்படாததைத் தேர்ந்தெடுக்கவும்
நீங்கள் தேர்ந்தெடுக்கும்போது இந்த அளவுரு தவறானது:
செயின்அவுட் சேர்டரை இயக்குவதற்கு இல்லை அல்லது
· PORT_UNUSED செயின்அவுட் சேர்டருக்கான 'நெகட்' உள்ளீட்டை இயக்கவா? அளவுரு அல்லது
இல்லை
நிராகரிப்பு பதிவேட்டிற்கான ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது.
நீங்கள் தேர்ந்தெடுக்கும்போது இந்த அளவுரு தவறானது:
செயின்அவுட் சேர்டரை இயக்குவதற்கு இல்லை அல்லது
· PORT_UNUSED செயின்அவுட் சேர்டருக்கான 'நெகட்' உள்ளீட்டை இயக்கவா? அளவுரு அல்லது
இல்லை
நிராகரிப்பு பதிவிற்கான ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது.
நீங்கள் தேர்ந்தெடுக்கும்போது இந்த அளவுரு தவறானது:
செயின்அவுட் சேர்டரை இயக்குவதற்கு இல்லை அல்லது
· PORT_UNUSED செயின்அவுட் சேர்டருக்கான 'நெகட்' உள்ளீட்டை இயக்கவா? அளவுரு அல்லது
ஆஃப் CLOCK0
சிஸ்டாலிக் பயன்முறையை இயக்க இந்த விருப்பத்தைத் தேர்ந்தெடுக்கவும். பெருக்கிகளின் எண்ணிக்கை என்ன என்பதற்கு 2 அல்லது 4ஐத் தேர்ந்தெடுக்கும்போது இந்த அளவுரு கிடைக்கும்? அளவுரு. சிஸ்டாலிக் தாமதப் பதிவேடுகளைப் பயன்படுத்த, ஆடர் யூனிட்டின் பதிவு வெளியீட்டை நீங்கள் இயக்க வேண்டும்.
சிஸ்டாலிக் தாமதப் பதிவேடுக்கான உள்ளீட்டு கடிகார சமிக்ஞையைக் குறிப்பிடுகிறது.
தொடர்ந்தது…
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 55
8. Intel FPGA மல்டிபிளை சேர்டர் IP கோர் 683490 | 2020.10.05
அளவுரு
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
கடிகாரம்2,
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_systolic_d elay_aclr
இல்லை ACLR0 ACLR1
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_systolic_d elay_sclr
இல்லை SCLR0 SCLR1
இயல்புநிலை மதிப்பு
இல்லை
இல்லை
விளக்கம்
இந்த விருப்பத்தை இயக்க, சிஸ்டாலிக் தாமதப் பதிவேடுகளை இயக்கு என்பதைத் தேர்ந்தெடுக்க வேண்டும்.
சிஸ்டாலிக் தாமதப் பதிவேட்டிற்கான ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த விருப்பத்தை இயக்க, சிஸ்டாலிக் தாமதப் பதிவேடுகளை இயக்கு என்பதைத் தேர்ந்தெடுக்க வேண்டும்.
சிஸ்டாலிக் தாமதப் பதிவேட்டிற்கான ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது. இந்த விருப்பத்தை இயக்க, சிஸ்டாலிக் தாமதப் பதிவேடுகளை இயக்கு என்பதைத் தேர்ந்தெடுக்க வேண்டும்.
8.6.7. பைப்லைனிங் தாவல்
அட்டவணை 36. பைப்லைனிங் தாவல்
அளவுரு பைப்லைனிங் கட்டமைப்பு
ஐபி உருவாக்கப்பட்ட அளவுரு
மதிப்பு
உள்ளீட்டில் பைப்லைன் பதிவேட்டைச் சேர்க்க விரும்புகிறீர்களா?
gui_pipelining இல்லை, ஆம்
இயல்புநிலை மதிப்பு
இல்லை
என்பதை குறிப்பிடவும்
தாமதம்
தாமத கடிகாரத்தின் எண்ணிக்கை
சுழற்சிகள்
0 ஐ விட அதிகமான எந்த மதிப்பும்
கடிகார உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_input_late ncy_clock
CLOCK0, CLOCK1, CLOCK2
ஒத்திசைவற்ற தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_input_late ncy_aclr
இல்லை ACLR0 ACLR1
ஒத்திசைவான தெளிவான உள்ளீட்டிற்கான ஆதாரம் என்ன?
gui_input_late ncy_sclr
இல்லை SCLR0 SCLR1
CLOCK0 இல்லை இல்லை இல்லை இல்லை
விளக்கம்
உள்ளீட்டு சிக்னல்களுக்கு பைப்லைன் பதிவேட்டின் கூடுதல் அளவை இயக்க ஆம் என்பதைத் தேர்ந்தெடுக்கவும். தாமத கடிகார சுழற்சிகள் அளவுருவின் எண்ணிக்கையைக் குறிப்பிடவும், 0 க்கு அதிகமான மதிப்பை நீங்கள் குறிப்பிட வேண்டும்.
கடிகார சுழற்சிகளில் விரும்பிய தாமதத்தைக் குறிப்பிடுகிறது. பைப்லைன் பதிவேட்டின் ஒரு நிலை = கடிகார சுழற்சியில் 1 தாமதம். உள்ளீட்டில் பைப்லைன் பதிவேட்டைச் சேர்க்க விரும்புகிறீர்களா? என்பதற்கு ஆம் என்பதைத் தேர்ந்தெடுக்க வேண்டும். இந்த விருப்பத்தை செயல்படுத்த.
பைப்லைன் பதிவு உள்ளீட்டு கடிகார சமிக்ஞையை இயக்க மற்றும் குறிப்பிட Clock0 , Clock1 அல்லது Clock2 ஐத் தேர்ந்தெடுக்கவும். உள்ளீட்டில் பைப்லைன் பதிவேட்டைச் சேர்க்க விரும்புகிறீர்களா? என்பதற்கு ஆம் என்பதைத் தேர்ந்தெடுக்க வேண்டும். இந்த விருப்பத்தை செயல்படுத்த.
கூடுதல் பைப்லைன் பதிவேட்டிற்கான பதிவு ஒத்திசைவற்ற தெளிவான மூலத்தைக் குறிப்பிடுகிறது. உள்ளீட்டில் பைப்லைன் பதிவேட்டைச் சேர்க்க விரும்புகிறீர்களா? என்பதற்கு ஆம் என்பதைத் தேர்ந்தெடுக்க வேண்டும். இந்த விருப்பத்தை செயல்படுத்த.
கூடுதல் பைப்லைன் பதிவேட்டிற்கான பதிவு ஒத்திசைவான தெளிவான மூலத்தைக் குறிப்பிடுகிறது. உள்ளீட்டில் பைப்லைன் பதிவேட்டைச் சேர்க்க விரும்புகிறீர்களா? என்பதற்கு ஆம் என்பதைத் தேர்ந்தெடுக்க வேண்டும். இந்த விருப்பத்தை செயல்படுத்த.
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 56
கருத்தை அனுப்பவும்
683490 | 2020.10.05 கருத்தை அனுப்பவும்
9. ALTMEMMULT (நினைவக அடிப்படையிலான நிலையான குணகம் பெருக்கி) ஐபி கோர்
கவனம்:
Intel Quartus Prime Pro பதிப்பு 20.3 இல் இந்த IP இன் ஆதரவை இன்டெல் அகற்றியுள்ளது. உங்கள் வடிவமைப்பில் உள்ள IP கோர் Intel Quartus Prime Pro பதிப்பில் உள்ள சாதனங்களை குறிவைத்தால், நீங்கள் IP ஐ LPM_MULT Intel FPGA IP உடன் மாற்றலாம் அல்லது IP ஐ மீண்டும் உருவாக்கலாம் மற்றும் Intel Quartus Prime Standard Edition மென்பொருளைப் பயன்படுத்தி உங்கள் வடிவமைப்பைத் தொகுக்கலாம்.
ALTMEMMULT IP கோர், Intel FPGA களில் (M512, M4K, M9K மற்றும் MLAB மெமரி பிளாக்குகளுடன்) காணப்படும் ஓன்சிப் மெமரி பிளாக்குகளைப் பயன்படுத்தி நினைவக அடிப்படையிலான பெருக்கிகளை உருவாக்கப் பயன்படுகிறது. லாஜிக் உறுப்புகள் (LEs) அல்லது பிரத்யேக பெருக்கி ஆதாரங்களில் உள்ள பெருக்கிகளை செயல்படுத்த போதுமான ஆதாரங்கள் உங்களிடம் இல்லையென்றால் இந்த IP கோர் பயனுள்ளதாக இருக்கும்.
ALTMEMMULT ஐபி கோர் என்பது கடிகாரம் தேவைப்படும் ஒத்திசைவான செயல்பாடாகும். ALTMEMMULT IP கோர், கொடுக்கப்பட்ட அளவுருக்கள் மற்றும் விவரக்குறிப்புகளுக்கு சாத்தியமான மிகச்சிறிய செயல்திறன் மற்றும் தாமதத்துடன் ஒரு பெருக்கியை செயல்படுத்துகிறது.
பின்வரும் படம் ALTMEMMULT IP மையத்திற்கான போர்ட்களைக் காட்டுகிறது.
படம் 21. ALTMEMMULT துறைமுகங்கள்
ALTMEMMULT
data_in[] sload_data coeff_in[]
முடிவு[] result_valid load_done
sload_coeff
sclr கடிகாரம்
inst
பக்கம் 71 இல் தொடர்புடைய தகவல் அம்சங்கள்
9.1 அம்சங்கள்
ALTMEMMULT IP மையமானது பின்வரும் அம்சங்களை வழங்குகிறது: · இல் காணப்படும் ஆன்-சிப் நினைவக தொகுதிகளைப் பயன்படுத்தி நினைவக அடிப்படையிலான பெருக்கிகளை மட்டுமே உருவாக்குகிறது
Intel FPGAs · 1 பிட்களின் தரவு அகலத்தை ஆதரிக்கிறது · கையொப்பமிடப்பட்ட மற்றும் கையொப்பமிடப்படாத தரவு பிரதிநிதித்துவ வடிவமைப்பை ஆதரிக்கிறது · நிலையான வெளியீட்டு தாமதத்துடன் பைப்லைனிங்கை ஆதரிக்கிறது
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
ISO 9001:2015 பதிவு செய்யப்பட்டது
9. ALTMEMMULT (நினைவக அடிப்படையிலான நிலையான குணகம் பெருக்கி) IP கோர் 683490 | 2020.10.05
· ரேண்டம்-அணுகல் நினைவகத்தில் (ரேம்) மடங்குகள் மாறிலிகளை சேமிக்கிறது
· ரேம் தொகுதி வகையைத் தேர்ந்தெடுக்க ஒரு விருப்பத்தை வழங்குகிறது
· விருப்ப ஒத்திசைவான தெளிவான மற்றும் சுமை-கட்டுப்பாட்டு உள்ளீட்டு போர்ட்களை ஆதரிக்கிறது
9.2 வெரிலாக் HDL முன்மாதிரி
பின்வரும் வெரிலாக் எச்டிஎல் முன்மாதிரி வெரிலாக் டிசைனில் உள்ளது File (.v) altera_mf.v இல் eda தொகுப்பு அடைவு.
தொகுதி altmemmult #( அளவுரு coeff_representation = "கையொப்பமிடப்பட்டது", அளவுரு குணகம்0 = "பயன்படுத்தப்படாதது", அளவுரு தரவு_பிரதிநிதித்துவம் = "கையொப்பமிடப்பட்டது", அளவுரு intended_device_family = "பயன்படுத்தப்படாதது", அளவுரு max_clock_cycles_per_result = 1, அளவுரு எண் ”, அளவுரு total_latency = 1, அளவுரு width_c = 1, அளவுரு width_d = 1, அளவுரு width_r = 1, அளவுரு width_s = 1, அளவுரு lpm_type = "altmemmult", அளவுரு lpm_hint = "பயன்படுத்தப்படாதது") ( உள்ளீட்டு கம்பி கடிகாரம், உள்ளீட்டு கம்பி [அகலம்_c-1: 1]coeff_in, input wire [width_d-0:1] data_in, output wire load_done, output wire [width_r-0:1] result, output wire result_valid, input wire sclr, input wire [width_s-0:1] sel, input கம்பி sload_coeff, உள்ளீடு கம்பி sload_data)/* தொகுப்பு syn_black_box=0 */; இறுதி தொகுதி
9.3 VHDL கூறு அறிவிப்பு
VHDL கூறு அறிவிப்பு VHDL வடிவமைப்பில் அமைந்துள்ளது File (.vhd) altera_mf_components.vhd இல் நூலகங்கள்vhdlaltera_mf அடைவு.
கூறு altmemmult ஜெனரிக் ( coeff_representation:string := “SIGNED”; குணகம்0:சரம் := “UNUSED”; data_representation:string := “SIGNED”; intended_device_family:string := “unused”; max_clock_cycles:natural_per_result. := ram_block_type:= "AUTO"; width_d: natural; = 1 "altmemmult"); போர்ட் (கடிகாரம்: std_logic; coeff_in: std_logic_vector இல் (width_c-1 downto 1) := (மற்றவர்கள் => '1'); data_in: in std_logic_vector (width_d-0 downto 0);
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 58
கருத்தை அனுப்பவும்
9. ALTMEMMULT (நினைவக அடிப்படையிலான நிலையான குணகம் பெருக்கி) IP கோர் 683490 | 2020.10.05
load_done:அவுட் std_logic; முடிவு:அவுட் std_logic_vector(width_r-1 downto 0); முடிவு_செல்லுபடியாகும்:அவுட் std_logic; sclr:in std_logic := '0'; sel:in std_logic_vector(width_s-1 downto 0) := (மற்றவை => '0'); sload_coeff:in std_logic := '0'; sload_data:in std_logic := '0'); இறுதி கூறு;
9.4 துறைமுகங்கள்
பின்வரும் அட்டவணைகள் ALTMEMMULT IP மையத்திற்கான உள்ளீடு மற்றும் வெளியீட்டு போர்ட்களை பட்டியலிடுகிறது.
அட்டவணை 37. ALTMEMMULT உள்ளீடு துறைமுகங்கள்
துறைமுக பெயர்
தேவை
விளக்கம்
கடிகாரம்
ஆம்
பெருக்கிக்கு கடிகார உள்ளீடு.
coeff_in[]
இல்லை
பெருக்கிக்கான குணக உள்ளீடு போர்ட். உள்ளீட்டு போர்ட்டின் அளவு WIDTH_C அளவுரு மதிப்பைப் பொறுத்தது.
data_in[]
ஆம்
பெருக்கிக்கு தரவு உள்ளீட்டு போர்ட். உள்ளீட்டு போர்ட்டின் அளவு WIDTH_D அளவுரு மதிப்பைப் பொறுத்தது.
sclr
இல்லை
ஒத்திசைவான தெளிவான உள்ளீடு. பயன்படுத்தப்படாவிட்டால், இயல்புநிலை மதிப்பு அதிகமாக செயலில் இருக்கும்.
செல்[]
இல்லை
நிலையான குணகம் தேர்வு. உள்ளீட்டு போர்ட்டின் அளவு WIDTH_S ஐப் பொறுத்தது
அளவுரு மதிப்பு.
sload_coeff
இல்லை
ஒத்திசைவான சுமை குணகம் உள்ளீட்டு போர்ட். தற்போதைய தேர்ந்தெடுக்கப்பட்ட குணக மதிப்பை coeff_in உள்ளீட்டில் குறிப்பிடப்பட்டுள்ள மதிப்புடன் மாற்றுகிறது.
sload_data
இல்லை
ஒத்திசைவான சுமை தரவு உள்ளீட்டு போர்ட். புதிய பெருக்கல் செயல்பாட்டைக் குறிப்பிடும் மற்றும் ஏற்கனவே உள்ள பெருக்கல் செயல்பாட்டை ரத்து செய்யும் சமிக்ஞை. MAX_CLOCK_CYCLES_PER_RESULT அளவுருவில் 1 மதிப்பு இருந்தால், sload_data உள்ளீட்டு போர்ட் புறக்கணிக்கப்படும்.
அட்டவணை 38. ALTMEMMULT வெளியீடு துறைமுகங்கள்
துறைமுக பெயர்
தேவை
விளக்கம்
விளைவாக[]
ஆம்
பெருக்கி வெளியீடு போர்ட். உள்ளீட்டு போர்ட்டின் அளவு WIDTH_R அளவுரு மதிப்பைப் பொறுத்தது.
முடிவு_செல்லுபடியாகும்
ஆம்
ஒரு முழுமையான பெருக்கத்தின் சரியான விளைவாக வெளியீடு எப்போது என்பதைக் குறிக்கிறது. MAX_CLOCK_CYCLES_PER_RESULT அளவுருவில் 1 மதிப்பு இருந்தால், result_valid வெளியீடு போர்ட் பயன்படுத்தப்படாது.
load_done
இல்லை
புதிய குணகம் எப்போது ஏற்றப்பட்டது என்பதைக் குறிக்கிறது. load_done சிக்னல் ஒரு புதிய குணகம் ஏற்றி முடித்ததும் உறுதிப்படுத்துகிறது. load_done சமிக்ஞை அதிகமாக இல்லாவிட்டால், வேறு எந்த குணக மதிப்பையும் நினைவகத்தில் ஏற்ற முடியாது.
9.5. அளவுருக்கள்
பின்வரும் அட்டவணை ALTMEMMULT IP மையத்திற்கான அளவுருக்களை பட்டியலிடுகிறது.
அட்டவணை 39.
WIDTH_D WIDTH_C
ALTMEMMULT அளவுருக்கள்
அளவுரு பெயர்
வகை தேவை
விளக்கம்
முழு எண் ஆம்
டேட்டா_இன்[] போர்ட்டின் அகலத்தைக் குறிப்பிடுகிறது.
முழு எண் ஆம்
coeff_in[] போர்ட்டின் அகலத்தைக் குறிப்பிடுகிறது. தொடர்ந்தது…
கருத்தை அனுப்பவும்
இன்டெல் FPGA முழு எண் எண்கணித IP கோர்கள் பயனர் கையேடு 59
9. ALTMEMMULT (நினைவக அடிப்படையிலான நிலையான குணகம் பெருக்கி) IP கோர் 683490 | 2020.10.05
அளவுரு பெயர் WIDTH_R WIDTH
ஆவணங்கள் / ஆதாரங்கள்
![]() |
intel FPGA முழு எண் கணித ஐபி கோர்கள் [pdf] பயனர் வழிகாட்டி FPGA முழு எண் கணித IP கோர்கள், முழு எண் கணித IP கோர்கள், எண்கணித IP கோர்கள், IP கோர்கள் |