
GPIO Intel® FPGA IP ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
Intel® Arria® 10 ಮತ್ತು Intel® Cyclone® 10 GX ಸಾಧನಗಳು
Intel® Quartus® Prime ವಿನ್ಯಾಸ ಸೂಟ್ಗಾಗಿ ನವೀಕರಿಸಲಾಗಿದೆ: 21.2
IP ಆವೃತ್ತಿ: 20.0.0
ಆನ್ಲೈನ್ ಆವೃತ್ತಿ ID: 683136
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ ug-altera_gpio ಆವೃತ್ತಿ: 2021.07.15
GPIO Intel® FPGA IP ಕೋರ್ ಸಾಮಾನ್ಯ ಉದ್ದೇಶದ I/O (GPIO) ವೈಶಿಷ್ಟ್ಯಗಳು ಮತ್ತು ಘಟಕಗಳನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ. ಟ್ರಾನ್ಸ್ಸಿವರ್ಗಳು, ಮೆಮೊರಿ ಇಂಟರ್ಫೇಸ್ಗಳು ಅಥವಾ LVDS ಗೆ ನಿರ್ದಿಷ್ಟವಾಗಿರದ ಸಾಮಾನ್ಯ ಅಪ್ಲಿಕೇಶನ್ಗಳಲ್ಲಿ ನೀವು GPIO ಗಳನ್ನು ಬಳಸಬಹುದು.
GPIO IP ಕೋರ್ Intel Arria® 10 ಮತ್ತು Intel Cyclone® 10 GX ಸಾಧನಗಳಿಗೆ ಮಾತ್ರ ಲಭ್ಯವಿದೆ. ನೀವು Stratix® V, Arria V, ಅಥವಾ Cyclone V ಸಾಧನಗಳಿಂದ ವಿನ್ಯಾಸಗಳನ್ನು ಸ್ಥಳಾಂತರಿಸುತ್ತಿದ್ದರೆ, ನೀವು ALTDDIO_IN, ALTDDIO_OUT, ALTDDIO_BIDIR, ಅಥವಾ ALTIOBUF IP ಕೋರ್ಗಳನ್ನು ಸ್ಥಳಾಂತರಿಸಬೇಕು.
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
- ಪುಟ 22 ರಲ್ಲಿ ಅರ್ರಿಯಾ ವಿ, ಸೈಕ್ಲೋನ್ ವಿ ಮತ್ತು ಸ್ಟ್ರಾಟಿಕ್ಸ್ ವಿ ಸಾಧನಗಳಿಗೆ ಐಪಿ ವಲಸೆ ಹರಿವು
- Intel Stratix 10 I/O ಇಂಪ್ಲಿಮೆಂಟೇಶನ್ ಗೈಡ್ಸ್
Intel Stratix 10 ಸಾಧನಗಳಿಗೆ GPIOIP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಯನ್ನು ಒದಗಿಸುತ್ತದೆ. - ಇಂಟೆಲ್ FPGA IP ಕೋರ್ಗಳಿಗೆ ಪರಿಚಯ
ಎಲ್ಲಾ ಇಂಟೆಲ್ ಎಫ್ಪಿಜಿಎ ಐಪಿ ಕೋರ್ಗಳ ಬಗ್ಗೆ ಸಾಮಾನ್ಯ ಮಾಹಿತಿಯನ್ನು ಒದಗಿಸುತ್ತದೆ, ಐಪಿ ಕೋರ್ಗಳನ್ನು ಪ್ಯಾರಾಮೀಟರೈಸ್ ಮಾಡುವುದು, ಉತ್ಪಾದಿಸುವುದು, ಅಪ್ಗ್ರೇಡ್ ಮಾಡುವುದು ಮತ್ತು ಅನುಕರಿಸುವುದು ಸೇರಿದಂತೆ. - ಆವೃತ್ತಿ-ಸ್ವತಂತ್ರ IP ಮತ್ತು Qsys ಸಿಮ್ಯುಲೇಶನ್ ಸ್ಕ್ರಿಪ್ಟ್ಗಳನ್ನು ರಚಿಸಲಾಗುತ್ತಿದೆ
ಸಾಫ್ಟ್ವೇರ್ ಅಥವಾ IP ಆವೃತ್ತಿಯ ನವೀಕರಣಗಳಿಗಾಗಿ ಹಸ್ತಚಾಲಿತ ನವೀಕರಣಗಳ ಅಗತ್ಯವಿಲ್ಲದ ಸಿಮ್ಯುಲೇಶನ್ ಸ್ಕ್ರಿಪ್ಟ್ಗಳನ್ನು ರಚಿಸಿ. - ಪ್ರಾಜೆಕ್ಟ್ ಮ್ಯಾನೇಜ್ಮೆಂಟ್ ಅತ್ಯುತ್ತಮ ಅಭ್ಯಾಸಗಳು
ನಿಮ್ಮ ಪ್ರಾಜೆಕ್ಟ್ ಮತ್ತು ಐಪಿಯ ಸಮರ್ಥ ನಿರ್ವಹಣೆ ಮತ್ತು ಪೋರ್ಟಬಿಲಿಟಿಗಾಗಿ ಮಾರ್ಗಸೂಚಿಗಳು files. - ಪುಟ 24 ರಲ್ಲಿ GPIO Intel FPGA IP ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ ಆರ್ಕೈವ್ಸ್
GPIO IP ಕೋರ್ನ ಹಿಂದಿನ ಆವೃತ್ತಿಗಳಿಗೆ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಗಳ ಪಟ್ಟಿಯನ್ನು ಒದಗಿಸುತ್ತದೆ. - ಡಬಲ್ ಡೇಟಾ ದರ I/O (ALTDDIO_IN, ALTDDIO_OUT, ಮತ್ತು ALTDDIO_BIDIR) IP ಕೋರ್ಗಳ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
- I/O ಬಫರ್ (ALTIOBUF) IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
GPIO ಇಂಟೆಲ್ FPGA IP ಗಾಗಿ ಬಿಡುಗಡೆ ಮಾಹಿತಿ
ಇಂಟೆಲ್ ಎಫ್ಪಿಜಿಎ ಐಪಿ ಆವೃತ್ತಿಗಳು ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಡಿಸೈನ್ ಸೂಟ್ ಸಾಫ್ಟ್ವೇರ್ ಆವೃತ್ತಿಗಳಿಗೆ v19.1 ವರೆಗೆ ಹೊಂದಾಣಿಕೆಯಾಗುತ್ತವೆ. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಡಿಸೈನ್ ಸೂಟ್ ಸಾಫ್ಟ್ವೇರ್ ಆವೃತ್ತಿ 19.2 ರಿಂದ ಪ್ರಾರಂಭಿಸಿ, ಇಂಟೆಲ್ ಎಫ್ಪಿಜಿಎ ಐಪಿ ಹೊಸ ಆವೃತ್ತಿಯ ಯೋಜನೆಯನ್ನು ಹೊಂದಿದೆ.
ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.
Intel FPGA IP ಆವೃತ್ತಿ (XYZ) ಸಂಖ್ಯೆಯು ಪ್ರತಿ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್ವೇರ್ ಆವೃತ್ತಿಯೊಂದಿಗೆ ಬದಲಾಗಬಹುದು. ಇದರಲ್ಲಿ ಬದಲಾವಣೆ:
- ಎಕ್ಸ್ ಐಪಿಯ ಪ್ರಮುಖ ಪರಿಷ್ಕರಣೆಯನ್ನು ಸೂಚಿಸುತ್ತದೆ. ನೀವು ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್ವೇರ್ ಅನ್ನು ನವೀಕರಿಸಿದರೆ, ನೀವು IP ಅನ್ನು ಮರುಸೃಷ್ಟಿಸಬೇಕು.
- IP ಹೊಸ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ಒಳಗೊಂಡಿದೆ ಎಂದು Y ಸೂಚಿಸುತ್ತದೆ. ಈ ಹೊಸ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ಸೇರಿಸಲು ನಿಮ್ಮ IP ಅನ್ನು ಮರುಸೃಷ್ಟಿಸಿ.
- IP ಸಣ್ಣ ಬದಲಾವಣೆಗಳನ್ನು ಒಳಗೊಂಡಿರುತ್ತದೆ ಎಂದು Z ಸೂಚಿಸುತ್ತದೆ. ಈ ಬದಲಾವಣೆಗಳನ್ನು ಸೇರಿಸಲು ನಿಮ್ಮ IP ಅನ್ನು ಮರುಸೃಷ್ಟಿಸಿ.
ಕೋಷ್ಟಕ 1. GPIO Intel FPGA IP ಕೋರ್ ಪ್ರಸ್ತುತ ಬಿಡುಗಡೆ ಮಾಹಿತಿ
|
ಐಟಂ |
ವಿವರಣೆ |
| IP ಆವೃತ್ತಿ | 20.0.0 |
| ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಆವೃತ್ತಿ | 21.2 |
| ಬಿಡುಗಡೆ ದಿನಾಂಕ | 2021.06.23 |
GPIO ಇಂಟೆಲ್ FPGA IP ವೈಶಿಷ್ಟ್ಯಗಳು
GPIO IP ಕೋರ್ ಸಾಧನ I/O ಬ್ಲಾಕ್ಗಳನ್ನು ಬೆಂಬಲಿಸುವ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ಒಳಗೊಂಡಿದೆ. GPIO IP ಕೋರ್ ಅನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಲು ನೀವು ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಅನ್ನು ಬಳಸಬಹುದು.
GPIO IP ಕೋರ್ ಈ ಘಟಕಗಳನ್ನು ಒದಗಿಸುತ್ತದೆ:
- ಡಬಲ್ ಡೇಟಾ ರೇಟ್ ಇನ್ಪುಟ್/ಔಟ್ಪುಟ್ (ಡಿಡಿಐಒ)-ಸಂವಹನ ಚಾನಲ್ನ ಡೇಟಾ ದರವನ್ನು ದ್ವಿಗುಣಗೊಳಿಸುವ ಅಥವಾ ಅರ್ಧದಷ್ಟು ಮಾಡುವ ಡಿಜಿಟಲ್ ಘಟಕ.
- ವಿಳಂಬ ಸರಪಳಿಗಳು-ನಿರ್ದಿಷ್ಟ ವಿಳಂಬವನ್ನು ನಿರ್ವಹಿಸಲು ಮತ್ತು I/O ಟೈಮಿಂಗ್ ಮುಚ್ಚುವಿಕೆಯಲ್ಲಿ ಸಹಾಯ ಮಾಡಲು ವಿಳಂಬ ಸರಪಳಿಗಳನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಿ.
- I/O ಬಫರ್ಗಳು - FPGA ಗೆ ಪ್ಯಾಡ್ಗಳನ್ನು ಸಂಪರ್ಕಿಸಿ.
GPIO ಇಂಟೆಲ್ FPGA IP ಡೇಟಾ ಪಥಗಳು
ಚಿತ್ರ 1. ಉನ್ನತ ಮಟ್ಟದ View ಏಕ-ಅಂತ್ಯ GPIO ನ

ಕೋಷ್ಟಕ 2. GPIO IP ಕೋರ್ ಡೇಟಾ ಮಾರ್ಗ ವಿಧಾನಗಳು
|
ಡೇಟಾ ಪಥ |
ರಿಜಿಸ್ಟರ್ ಮೋಡ್ | |||
| ಬೈಪಾಸ್ | ಸರಳ ನೋಂದಣಿ |
DDR I/O |
||
|
ಪೂರ್ಣ-ದರ |
ಅರ್ಧ ದರ |
|||
| ಇನ್ಪುಟ್ | ಎಲ್ಲಾ ಡಬಲ್ ಡೇಟಾ ದರ I/Os (DDIOs) ಅನ್ನು ಬೈಪಾಸ್ ಮಾಡುವ ಮೂಲಕ ಡೇಟಾ ವಿಳಂಬ ಅಂಶದಿಂದ ಕೋರ್ಗೆ ಹೋಗುತ್ತದೆ. | ಪೂರ್ಣ-ದರದ DDIO ಸರಳವಾದ ರಿಜಿಸ್ಟರ್ ಆಗಿ ಕಾರ್ಯನಿರ್ವಹಿಸುತ್ತದೆ, ಅರ್ಧ ದರದ DDIO ಗಳನ್ನು ಬೈಪಾಸ್ ಮಾಡುತ್ತದೆ. ಪ್ರದೇಶ ಮತ್ತು ಸಮಯದ ವ್ಯಾಪಾರ-ವಹಿವಾಟುಗಳ ಆಧಾರದ ಮೇಲೆ, I/O ನಲ್ಲಿ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಪ್ಯಾಕ್ ಮಾಡಬೇಕೆ ಅಥವಾ ಕೋರ್ನಲ್ಲಿ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸಬೇಕೇ ಎಂಬುದನ್ನು ಫಿಟ್ಟರ್ ಆಯ್ಕೆಮಾಡುತ್ತದೆ. | ಪೂರ್ಣ-ದರದ DDIO ಸಾಮಾನ್ಯ DDIO ಆಗಿ ಕಾರ್ಯನಿರ್ವಹಿಸುತ್ತದೆ, ಅರ್ಧ-ದರ DDIO ಗಳನ್ನು ಬೈಪಾಸ್ ಮಾಡುತ್ತದೆ. | ಪೂರ್ಣ-ದರದ DDIO ಸಾಮಾನ್ಯ DDIO ಆಗಿ ಕಾರ್ಯನಿರ್ವಹಿಸುತ್ತದೆ. ಅರ್ಧ ದರದ DDIO ಗಳು ಪೂರ್ಣ ದರದ ಡೇಟಾವನ್ನು ಅರ್ಧ ದರದ ಡೇಟಾಗೆ ಪರಿವರ್ತಿಸುತ್ತವೆ. |
| ಔಟ್ಪುಟ್ | ಡೇಟಾವು ಕೋರ್ನಿಂದ ನೇರವಾಗಿ ವಿಳಂಬ ಅಂಶಕ್ಕೆ ಹೋಗುತ್ತದೆ, ಎಲ್ಲಾ DDIO ಗಳನ್ನು ಬೈಪಾಸ್ ಮಾಡುತ್ತದೆ. | ಪೂರ್ಣ-ದರದ DDIO ಸರಳವಾದ ರಿಜಿಸ್ಟರ್ ಆಗಿ ಕಾರ್ಯನಿರ್ವಹಿಸುತ್ತದೆ, ಅರ್ಧ ದರದ DDIO ಗಳನ್ನು ಬೈಪಾಸ್ ಮಾಡುತ್ತದೆ. ಪ್ರದೇಶ ಮತ್ತು ಸಮಯದ ವ್ಯಾಪಾರ-ವಹಿವಾಟುಗಳ ಆಧಾರದ ಮೇಲೆ, I/O ನಲ್ಲಿ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಪ್ಯಾಕ್ ಮಾಡಬೇಕೆ ಅಥವಾ ಕೋರ್ನಲ್ಲಿ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸಬೇಕೇ ಎಂಬುದನ್ನು ಫಿಟ್ಟರ್ ಆಯ್ಕೆಮಾಡುತ್ತದೆ. | ಪೂರ್ಣ-ದರದ DDIO ಸಾಮಾನ್ಯ DDIO ಆಗಿ ಕಾರ್ಯನಿರ್ವಹಿಸುತ್ತದೆ, ಅರ್ಧ-ದರ DDIO ಗಳನ್ನು ಬೈಪಾಸ್ ಮಾಡುತ್ತದೆ. | ಪೂರ್ಣ-ದರದ DDIO ಸಾಮಾನ್ಯ DDIO ಆಗಿ ಕಾರ್ಯನಿರ್ವಹಿಸುತ್ತದೆ. ಅರ್ಧ ದರದ DDIO ಗಳು ಪೂರ್ಣ ದರದ ಡೇಟಾವನ್ನು ಅರ್ಧ ದರದ ಡೇಟಾಗೆ ಪರಿವರ್ತಿಸುತ್ತವೆ. |
| ದ್ವಿಮುಖ | ಔಟ್ಪುಟ್ ಬಫರ್ ಔಟ್ಪುಟ್ ಪಿನ್ ಮತ್ತು ಇನ್ಪುಟ್ ಬಫರ್ ಎರಡನ್ನೂ ಚಾಲನೆ ಮಾಡುತ್ತದೆ. | ಪೂರ್ಣ-ದರದ DDIO ಸರಳ ರಿಜಿಸ್ಟರ್ ಆಗಿ ಕಾರ್ಯನಿರ್ವಹಿಸುತ್ತದೆ. ಔಟ್ಪುಟ್ ಬಫರ್ ಔಟ್ಪುಟ್ ಪಿನ್ ಮತ್ತು ಇನ್ಪುಟ್ ಬಫರ್ ಎರಡನ್ನೂ ಚಾಲನೆ ಮಾಡುತ್ತದೆ. | ಪೂರ್ಣ-ದರದ DDIO ಸಾಮಾನ್ಯ DDIO ಆಗಿ ಕಾರ್ಯನಿರ್ವಹಿಸುತ್ತದೆ. ಔಟ್ಪುಟ್ ಬಫರ್ ಔಟ್ಪುಟ್ ಪಿನ್ ಮತ್ತು ಇನ್ಪುಟ್ ಬಫರ್ ಎರಡನ್ನೂ ಚಾಲನೆ ಮಾಡುತ್ತದೆ. ಇನ್ಪುಟ್ ಬಫರ್ ಮೂರು ಫ್ಲಿಪ್-ಫ್ಲಾಪ್ಗಳ ಸೆಟ್ ಅನ್ನು ಚಾಲನೆ ಮಾಡುತ್ತದೆ. | ಪೂರ್ಣ-ದರದ DDIO ಸಾಮಾನ್ಯ DDIO ಆಗಿ ಕಾರ್ಯನಿರ್ವಹಿಸುತ್ತದೆ. ಅರ್ಧ ದರದ DDIO ಗಳು ಪೂರ್ಣ ದರದ ಡೇಟಾವನ್ನು ಅರ್ಧ ದರಕ್ಕೆ ಪರಿವರ್ತಿಸುತ್ತವೆ. ಔಟ್ಪುಟ್ ಬಫರ್ ಔಟ್ಪುಟ್ ಪಿನ್ ಮತ್ತು ಇನ್ಪುಟ್ ಬಫರ್ ಎರಡನ್ನೂ ಚಾಲನೆ ಮಾಡುತ್ತದೆ. ಇನ್ಪುಟ್ ಬಫರ್ ಮೂರು ಫ್ಲಿಪ್-ಫ್ಲಾಪ್ಗಳ ಸೆಟ್ ಅನ್ನು ಚಾಲನೆ ಮಾಡುತ್ತದೆ. |
ನೀವು ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮತ್ತು ಪೂರ್ವನಿಗದಿ ಸಂಕೇತಗಳನ್ನು ಬಳಸಿದರೆ, ಎಲ್ಲಾ DDIO ಗಳು ಇದೇ ಸಂಕೇತಗಳನ್ನು ಹಂಚಿಕೊಳ್ಳುತ್ತವೆ.
ಅರ್ಧ-ದರ ಮತ್ತು ಪೂರ್ಣ-ದರದ DDIO ಗಳು ಪ್ರತ್ಯೇಕ ಗಡಿಯಾರಗಳಿಗೆ ಸಂಪರ್ಕಗೊಳ್ಳುತ್ತವೆ. ನೀವು ಅರ್ಧ-ದರ ಮತ್ತು ಪೂರ್ಣ-ದರದ DDIO ಗಳನ್ನು ಬಳಸುವಾಗ, ಪೂರ್ಣ-ದರದ ಗಡಿಯಾರವು ಅರ್ಧ-ದರ ಆವರ್ತನದ ಎರಡು ಪಟ್ಟು ರನ್ ಆಗಬೇಕು. ಸಮಯದ ಅವಶ್ಯಕತೆಗಳನ್ನು ಪೂರೈಸಲು ನೀವು ವಿವಿಧ ಹಂತದ ಸಂಬಂಧಗಳನ್ನು ಬಳಸಬಹುದು.
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ಪುಟ 12 ರಲ್ಲಿ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಬಸ್ ಹೆಚ್ಚಿನ ಮತ್ತು ಕಡಿಮೆ ಬಿಟ್ಗಳು
ಇನ್ಪುಟ್ ಮಾರ್ಗ
ಪ್ಯಾಡ್ ಇನ್ಪುಟ್ ಬಫರ್ಗೆ ಡೇಟಾವನ್ನು ಕಳುಹಿಸುತ್ತದೆ ಮತ್ತು ಇನ್ಪುಟ್ ಬಫರ್ ವಿಳಂಬ ಅಂಶವನ್ನು ಫೀಡ್ ಮಾಡುತ್ತದೆ. ಡೇಟಾ ವಿಳಂಬ ಅಂಶದ ಔಟ್ಪುಟ್ಗೆ ಹೋದ ನಂತರ, ಪ್ರೊಗ್ರಾಮೆಬಲ್ ಬೈಪಾಸ್ ಮಲ್ಟಿಪ್ಲೆಕ್ಸರ್ಗಳು ಬಳಸಲು ವೈಶಿಷ್ಟ್ಯಗಳು ಮತ್ತು ಮಾರ್ಗಗಳನ್ನು ಆಯ್ಕೆಮಾಡುತ್ತವೆ. ಪ್ರತಿ ಇನ್ಪುಟ್ ಮಾರ್ಗವು ಎರಡು ಸೆಗಳನ್ನು ಹೊಂದಿರುತ್ತದೆtagDDIOಗಳ es, ಇದು ಪೂರ್ಣ-ದರ ಮತ್ತು ಅರ್ಧ-ದರವಾಗಿದೆ.
ಚಿತ್ರ 2. ಸರಳೀಕೃತ View ಏಕ-ಅಂತ್ಯದ GPIO ಇನ್ಪುಟ್ ಪಾತ್

- ಪ್ಯಾಡ್ ಡೇಟಾವನ್ನು ಸ್ವೀಕರಿಸುತ್ತದೆ.
- DDIO IN (1) ck_fr ನ ಏರುತ್ತಿರುವ ಮತ್ತು ಬೀಳುವ ಅಂಚುಗಳ ಮೇಲೆ ಡೇಟಾವನ್ನು ಸೆರೆಹಿಡಿಯುತ್ತದೆ ಮತ್ತು ಕೆಳಗಿನ ತರಂಗರೂಪದ ಚಿತ್ರದಲ್ಲಿ ಡೇಟಾ, ಸಂಕೇತಗಳು (A) ಮತ್ತು (B) ಅನ್ನು ಒಂದೇ ಡೇಟಾ ದರದಲ್ಲಿ ಕಳುಹಿಸುತ್ತದೆ.
- DDIO IN (2) ಮತ್ತು DDIO IN (3) ಡೇಟಾ ದರವನ್ನು ಅರ್ಧದಷ್ಟು ಕಡಿಮೆ ಮಾಡುತ್ತದೆ.
- ಡೌಟ್[3:0] ಡೇಟಾವನ್ನು ಅರ್ಧ-ದರದ ಬಸ್ನಂತೆ ಪ್ರಸ್ತುತಪಡಿಸುತ್ತದೆ.
ಚಿತ್ರ 3. ಅರ್ಧ-ದರ ಪರಿವರ್ತನೆಯೊಂದಿಗೆ DDIO ಮೋಡ್ನಲ್ಲಿ ಇನ್ಪುಟ್ ಪಾತ್ ವೇವ್ಫಾರ್ಮ್
ಈ ಚಿತ್ರದಲ್ಲಿ, ಡೇಟಾವು ಪೂರ್ಣ-ದರ ಗಡಿಯಾರದಿಂದ ಡಬಲ್ ಡೇಟಾ ದರದಲ್ಲಿ ಅರ್ಧ-ದರ ಗಡಿಯಾರಕ್ಕೆ ಒಂದೇ ಡೇಟಾ ದರದಲ್ಲಿ ಹೋಗುತ್ತದೆ. ಡೇಟಾ ದರವನ್ನು ನಾಲ್ಕರಿಂದ ಭಾಗಿಸಲಾಗಿದೆ ಮತ್ತು ಬಸ್ ಗಾತ್ರವನ್ನು ಅದೇ ಅನುಪಾತದಿಂದ ಹೆಚ್ಚಿಸಲಾಗಿದೆ. GPIO IP ಕೋರ್ ಮೂಲಕ ಒಟ್ಟಾರೆ ಥ್ರೋಪುಟ್ ಬದಲಾಗದೆ ಉಳಿಯುತ್ತದೆ.
ವಿಭಿನ್ನ ಸಂಕೇತಗಳ ನಡುವಿನ ನಿಜವಾದ ಸಮಯದ ಸಂಬಂಧವು ನಿರ್ದಿಷ್ಟ ವಿನ್ಯಾಸ, ವಿಳಂಬಗಳು ಮತ್ತು ಪೂರ್ಣ-ದರ ಮತ್ತು ಅರ್ಧ-ದರದ ಗಡಿಯಾರಗಳಿಗಾಗಿ ನೀವು ಆಯ್ಕೆ ಮಾಡುವ ಹಂತಗಳನ್ನು ಅವಲಂಬಿಸಿ ಬದಲಾಗಬಹುದು.

ಗಮನಿಸಿ: GPIO IP ಕೋರ್ ಬೈಡೈರೆಕ್ಷನಲ್ ಪಿನ್ಗಳ ಡೈನಾಮಿಕ್ ಮಾಪನಾಂಕ ನಿರ್ಣಯವನ್ನು ಬೆಂಬಲಿಸುವುದಿಲ್ಲ. ಬೈಡೈರೆಕ್ಷನಲ್ ಪಿನ್ಗಳ ಡೈನಾಮಿಕ್ ಮಾಪನಾಂಕ ನಿರ್ಣಯದ ಅಗತ್ಯವಿರುವ ಅಪ್ಲಿಕೇಶನ್ಗಳಿಗಾಗಿ, ಸಂಬಂಧಿತ ಮಾಹಿತಿಯನ್ನು ನೋಡಿ.
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
- ಪ್ಯಾರಲಲ್ ಇಂಟರ್ಫೇಸ್ಗಳಿಗಾಗಿ PHY ಲೈಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ: Intel Stratix 10, Intel Arria 10, ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳು
ಬೈಡೈರೆಕ್ಷನಲ್ ಪಿನ್ಗಳಿಗಾಗಿ ಡೈನಾಮಿಕ್ OCT ಅಗತ್ಯವಿರುವ ಅಪ್ಲಿಕೇಶನ್ಗಳಿಗೆ ಹೆಚ್ಚಿನ ಮಾಹಿತಿಯನ್ನು ಒದಗಿಸುತ್ತದೆ. - ಔಟ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಪುಟ 7 ರಲ್ಲಿ ಮಾರ್ಗಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ
ಔಟ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಪಥಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ
ಔಟ್ಪುಟ್ ವಿಳಂಬ ಅಂಶವು ಔಟ್ಪುಟ್ ಬಫರ್ ಮೂಲಕ ಪ್ಯಾಡ್ಗೆ ಡೇಟಾವನ್ನು ಕಳುಹಿಸುತ್ತದೆ.
ಪ್ರತಿ ಔಟ್ಪುಟ್ ಮಾರ್ಗವು ಎರಡು ಸೆಗಳನ್ನು ಹೊಂದಿರುತ್ತದೆtagDDIO ಗಳ es, ಇದು ಅರ್ಧ ದರ ಮತ್ತು ಪೂರ್ಣ ದರವಾಗಿದೆ.
ಚಿತ್ರ 4. ಸರಳೀಕೃತ View ಏಕ-ಅಂತ್ಯದ GPIO ಔಟ್ಪುಟ್ ಪಾತ್

ಚಿತ್ರ 5. ಅರ್ಧ ದರದ ಪರಿವರ್ತನೆಯೊಂದಿಗೆ DDIO ಮೋಡ್ನಲ್ಲಿ ಔಟ್ಪುಟ್ ಪಾತ್ ವೇವ್ಫಾರ್ಮ್

ಚಿತ್ರ 6. ಸರಳೀಕೃತ View ಆಫ್ ಔಟ್ಪುಟ್ ಎನೇಬಲ್ ಪಾತ್

ಔಟ್ಪುಟ್ ಮಾರ್ಗ ಮತ್ತು ಔಟ್ಪುಟ್ ಎನೇಬಲ್ (OE) ಮಾರ್ಗದ ನಡುವಿನ ವ್ಯತ್ಯಾಸವೆಂದರೆ OE ಮಾರ್ಗವು ಪೂರ್ಣ-ದರ DDIO ಅನ್ನು ಹೊಂದಿರುವುದಿಲ್ಲ. OE ಪಥದಲ್ಲಿ ಪ್ಯಾಕ್ಡ್-ರಿಜಿಸ್ಟರ್ ಅಳವಡಿಕೆಗಳನ್ನು ಬೆಂಬಲಿಸಲು, ಸರಳ ರಿಜಿಸ್ಟರ್ ಪೂರ್ಣ-ದರ DDIO ಆಗಿ ಕಾರ್ಯನಿರ್ವಹಿಸುತ್ತದೆ. ಅದೇ ಕಾರಣಕ್ಕಾಗಿ, ಕೇವಲ ಒಂದು ಅರ್ಧ ದರದ DDIO ಮಾತ್ರ ಇರುತ್ತದೆ.
OE ಮಾರ್ಗವು ಈ ಕೆಳಗಿನ ಮೂರು ಮೂಲಭೂತ ವಿಧಾನಗಳಲ್ಲಿ ಕಾರ್ಯನಿರ್ವಹಿಸುತ್ತದೆ:
- ಬೈಪಾಸ್-ಕೋರ್ ಎಲ್ಲಾ DDIO ಗಳನ್ನು ಬೈಪಾಸ್ ಮಾಡುವ ಮೂಲಕ ನೇರವಾಗಿ ವಿಳಂಬ ಅಂಶಕ್ಕೆ ಡೇಟಾವನ್ನು ಕಳುಹಿಸುತ್ತದೆ.
- ಪ್ಯಾಕ್ ಮಾಡಿದ ರಿಜಿಸ್ಟರ್-ಅರ್ಧ ದರದ DDIO ಅನ್ನು ಬೈಪಾಸ್ ಮಾಡುತ್ತದೆ.
- ಅರ್ಧ-ದರದಲ್ಲಿ SDR ಔಟ್ಪುಟ್-ಅರ್ಧ-ದರ DDIO ಗಳು ಡೇಟಾವನ್ನು ಪೂರ್ಣ-ದರದಿಂದ ಅರ್ಧ-ದರಕ್ಕೆ ಪರಿವರ್ತಿಸುತ್ತವೆ.
ಗಮನಿಸಿ: GPIO IP ಕೋರ್ ಬೈಡೈರೆಕ್ಷನಲ್ ಪಿನ್ಗಳ ಡೈನಾಮಿಕ್ ಮಾಪನಾಂಕ ನಿರ್ಣಯವನ್ನು ಬೆಂಬಲಿಸುವುದಿಲ್ಲ. ಬೈಡೈರೆಕ್ಷನಲ್ ಪಿನ್ಗಳ ಡೈನಾಮಿಕ್ ಮಾಪನಾಂಕ ನಿರ್ಣಯದ ಅಗತ್ಯವಿರುವ ಅಪ್ಲಿಕೇಶನ್ಗಳಿಗಾಗಿ, ಸಂಬಂಧಿತ ಮಾಹಿತಿಯನ್ನು ನೋಡಿ.
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
- ಪ್ಯಾರಲಲ್ ಇಂಟರ್ಫೇಸ್ಗಳಿಗಾಗಿ PHY ಲೈಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ: Intel Stratix 10, Intel Arria 10, ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳು
ಬೈಡೈರೆಕ್ಷನಲ್ ಪಿನ್ಗಳಿಗಾಗಿ ಡೈನಾಮಿಕ್ OCT ಅಗತ್ಯವಿರುವ ಅಪ್ಲಿಕೇಶನ್ಗಳಿಗೆ ಹೆಚ್ಚಿನ ಮಾಹಿತಿಯನ್ನು ಒದಗಿಸುತ್ತದೆ. - ಪುಟ 5 ರಲ್ಲಿ ಇನ್ಪುಟ್ ಪಾತ್
GPIO ಇಂಟೆಲ್ FPGA IP ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳು
ನೀವು ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಪ್ಯಾರಾಮೀಟರ್ ಸೆಟ್ಟಿಂಗ್ಗಳನ್ನು ಅವಲಂಬಿಸಿ, GPIO IP ಕೋರ್ಗೆ ವಿಭಿನ್ನ ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳು ಲಭ್ಯವಿವೆ.
ಚಿತ್ರ 7. GPIO IP ಕೋರ್ ಇಂಟರ್ಫೇಸ್ಗಳು

ಚಿತ್ರ 8. GPIO ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳು

ಕೋಷ್ಟಕ 3. ಪ್ಯಾಡ್ ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳು
ಪ್ಯಾಡ್ ಇಂಟರ್ಫೇಸ್ GPIO IP ಕೋರ್ನಿಂದ ಪ್ಯಾಡ್ಗೆ ಭೌತಿಕ ಸಂಪರ್ಕವಾಗಿದೆ. ಈ ಇಂಟರ್ಫೇಸ್ IP ಕೋರ್ ಕಾನ್ಫಿಗರೇಶನ್ ಅನ್ನು ಅವಲಂಬಿಸಿ ಇನ್ಪುಟ್, ಔಟ್ಪುಟ್ ಅಥವಾ ದ್ವಿಮುಖ ಇಂಟರ್ಫೇಸ್ ಆಗಿರಬಹುದು. ಈ ಕೋಷ್ಟಕದಲ್ಲಿ, SIZE ಎಂಬುದು IP ಕೋರ್ ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ನಲ್ಲಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಡೇಟಾ ಅಗಲವಾಗಿದೆ.
|
ಸಿಗ್ನಲ್ ಹೆಸರು |
ನಿರ್ದೇಶನ |
ವಿವರಣೆ |
| ಪ್ಯಾಡ್_ಇನ್[SIZE-1:0] |
ಇನ್ಪುಟ್ |
ಪ್ಯಾಡ್ನಿಂದ ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್. |
| pad_in_b[SIZE-1:0] |
ಇನ್ಪುಟ್ |
ಪ್ಯಾಡ್ನಿಂದ ಡಿಫರೆನ್ಷಿಯಲ್ ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ನ ಋಣಾತ್ಮಕ ನೋಡ್. ನೀವು ಆನ್ ಮಾಡಿದರೆ ಈ ಪೋರ್ಟ್ ಲಭ್ಯವಿದೆ ಡಿಫರೆನ್ಷಿಯಲ್ ಬಫರ್ ಬಳಸಿ ಆಯ್ಕೆಯನ್ನು. |
| ಪ್ಯಾಡ್_ಔಟ್[SIZE-1:0] |
ಔಟ್ಪುಟ್ |
ಪ್ಯಾಡ್ಗೆ ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್. |
| pad_out_b[SIZE-1:0] |
ಔಟ್ಪುಟ್ |
ಪ್ಯಾಡ್ಗೆ ಡಿಫರೆನ್ಷಿಯಲ್ ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ನ ಋಣಾತ್ಮಕ ನೋಡ್. ನೀವು ಆನ್ ಮಾಡಿದರೆ ಈ ಪೋರ್ಟ್ ಲಭ್ಯವಿದೆ ಡಿಫರೆನ್ಷಿಯಲ್ ಬಫರ್ ಬಳಸಿ ಆಯ್ಕೆಯನ್ನು. |
| pad_io[SIZE-1:0] |
ದ್ವಿಮುಖ |
ಪ್ಯಾಡ್ನೊಂದಿಗೆ ಬೈಡೈರೆಕ್ಷನಲ್ ಸಿಗ್ನಲ್ ಸಂಪರ್ಕ. |
| pad_io_b[SIZE-1:0] |
ದ್ವಿಮುಖ |
ಪ್ಯಾಡ್ನೊಂದಿಗೆ ಡಿಫರೆನ್ಷಿಯಲ್ ಬೈಡೈರೆಕ್ಷನಲ್ ಸಿಗ್ನಲ್ ಸಂಪರ್ಕದ ಋಣಾತ್ಮಕ ನೋಡ್. ನೀವು ಆನ್ ಮಾಡಿದರೆ ಈ ಪೋರ್ಟ್ ಲಭ್ಯವಿದೆ ಡಿಫರೆನ್ಷಿಯಲ್ ಬಫರ್ ಬಳಸಿ ಆಯ್ಕೆಯನ್ನು. |
ಕೋಷ್ಟಕ 4. ಡೇಟಾ ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳು
ಡೇಟಾ ಇಂಟರ್ಫೇಸ್ GPIO IP ಕೋರ್ನಿಂದ FPGA ಕೋರ್ಗೆ ಇನ್ಪುಟ್ ಅಥವಾ ಔಟ್ಪುಟ್ ಇಂಟರ್ಫೇಸ್ ಆಗಿದೆ. ಈ ಕೋಷ್ಟಕದಲ್ಲಿ, SIZE ಎಂಬುದು IP ಕೋರ್ ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ನಲ್ಲಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಡೇಟಾ ಅಗಲವಾಗಿದೆ.
|
ಸಿಗ್ನಲ್ ಹೆಸರು |
ನಿರ್ದೇಶನ |
ವಿವರಣೆ |
| ದಿನ[DATA_SIZE-1:0] |
ಇನ್ಪುಟ್ |
ಔಟ್ಪುಟ್ ಅಥವಾ ಬೈಡೈರೆಕ್ಷನಲ್ ಮೋಡ್ನಲ್ಲಿ FPGA ಕೋರ್ನಿಂದ ಡೇಟಾ ಇನ್ಪುಟ್. DATA_SIZE ರಿಜಿಸ್ಟರ್ ಮೋಡ್ ಅನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ:
|
| ಡೌಟ್[DATA_SIZE-1:0] |
ಔಟ್ಪುಟ್ |
ಇನ್ಪುಟ್ ಅಥವಾ ಬೈಡೈರೆಕ್ಷನಲ್ ಮೋಡ್ನಲ್ಲಿ FPGA ಕೋರ್ಗೆ ಡೇಟಾ ಔಟ್ಪುಟ್, DATA_SIZE ರಿಜಿಸ್ಟರ್ ಮೋಡ್ ಅನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ:
|
| oe[OE_SIZE-1:0] |
ಇನ್ಪುಟ್ |
ಇದರೊಂದಿಗೆ ಔಟ್ಪುಟ್ ಮೋಡ್ನಲ್ಲಿ FPGA ಕೋರ್ನಿಂದ OE ಇನ್ಪುಟ್ ಔಟ್ಪುಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಪೋರ್ಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಆನ್ ಮಾಡಲಾಗಿದೆ, ಅಥವಾ ದ್ವಿಮುಖ ಮೋಡ್. OE ಹೆಚ್ಚು ಸಕ್ರಿಯವಾಗಿದೆ. ಡೇಟಾವನ್ನು ರವಾನಿಸುವಾಗ, ಈ ಸಿಗ್ನಲ್ ಅನ್ನು 1 ಗೆ ಹೊಂದಿಸಿ. ಡೇಟಾವನ್ನು ಸ್ವೀಕರಿಸುವಾಗ, ಈ ಸಿಗ್ನಲ್ ಅನ್ನು 0 ಗೆ ಹೊಂದಿಸಿ. OE_SIZE ರಿಜಿಸ್ಟರ್ ಮೋಡ್ ಅನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ:
|
ಕೋಷ್ಟಕ 5. ಗಡಿಯಾರ ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳು
ಗಡಿಯಾರ ಇಂಟರ್ಫೇಸ್ ಇನ್ಪುಟ್ ಗಡಿಯಾರ ಇಂಟರ್ಫೇಸ್ ಆಗಿದೆ. ಇದು ಸಂರಚನೆಯನ್ನು ಅವಲಂಬಿಸಿ ವಿಭಿನ್ನ ಸಂಕೇತಗಳನ್ನು ಒಳಗೊಂಡಿದೆ. GPIO IP ಕೋರ್ ಶೂನ್ಯ, ಒಂದು, ಎರಡು ಅಥವಾ ನಾಲ್ಕು ಗಡಿಯಾರ ಇನ್ಪುಟ್ಗಳನ್ನು ಹೊಂದಬಹುದು. ಗಡಿಯಾರ ಸಿಗ್ನಲ್ ನಿರ್ವಹಿಸುವ ನಿಜವಾದ ಕಾರ್ಯವನ್ನು ಪ್ರತಿಬಿಂಬಿಸಲು ಗಡಿಯಾರ ಪೋರ್ಟ್ಗಳು ವಿಭಿನ್ನ ಸಂರಚನೆಗಳಲ್ಲಿ ವಿಭಿನ್ನವಾಗಿ ಗೋಚರಿಸುತ್ತವೆ.
|
ಸಿಗ್ನಲ್ ಹೆಸರು |
ನಿರ್ದೇಶನ |
ವಿವರಣೆ |
| ck |
ಇನ್ಪುಟ್ |
ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಪಥಗಳಲ್ಲಿ, ನೀವು ಆಫ್ ಮಾಡಿದರೆ ಈ ಗಡಿಯಾರವು ಪ್ಯಾಕ್ ಮಾಡಲಾದ ರಿಜಿಸ್ಟರ್ ಅಥವಾ DDIO ಅನ್ನು ನೀಡುತ್ತದೆ ಅರ್ಧ ದರದ ತರ್ಕ ನಿಯತಾಂಕ. ಬೈಡೈರೆಕ್ಷನಲ್ ಮೋಡ್ನಲ್ಲಿ, ಈ ಗಡಿಯಾರವು ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಮಾರ್ಗಗಳಿಗಾಗಿ ಅನನ್ಯ ಗಡಿಯಾರವಾಗಿದೆ. ಪ್ರತ್ಯೇಕ ಇನ್ಪುಟ್/ಔಟ್ಪುಟ್ ಗಡಿಯಾರಗಳು ನಿಯತಾಂಕ. |
| ck_fr |
ಇನ್ಪುಟ್ |
ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಪಥ್ಗಳಲ್ಲಿ, ಈ ಗಡಿಯಾರಗಳು ಪೂರ್ಣ-ದರ ಮತ್ತು ಅರ್ಧ-ದರದ DDIO ಗಳನ್ನು ನೀವು ಆನ್ ಮಾಡಿದರೆ ಅರ್ಧ ದರದ ತರ್ಕ ನಿಯತಾಂಕ. ದ್ವಿಮುಖ ಕ್ರಮದಲ್ಲಿ, ನೀವು ಆಫ್ ಮಾಡಿದರೆ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಮಾರ್ಗಗಳು ಈ ಗಡಿಯಾರಗಳನ್ನು ಬಳಸುತ್ತವೆ ಪ್ರತ್ಯೇಕ ಇನ್ಪುಟ್/ಔಟ್ಪುಟ್ ಗಡಿಯಾರಗಳು ನಿಯತಾಂಕ. |
|
ck_hr |
||
| ck_in |
ಇನ್ಪುಟ್ |
ದ್ವಿಮುಖ ಕ್ರಮದಲ್ಲಿ, ನೀವು ಈ ಎರಡೂ ಸೆಟ್ಟಿಂಗ್ಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿದರೆ, ಈ ಗಡಿಯಾರಗಳು ಪ್ಯಾಕ್ ಮಾಡಲಾದ ರಿಜಿಸ್ಟರ್ ಅಥವಾ DDIO ಅನ್ನು ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಮಾರ್ಗಗಳಲ್ಲಿ ನೀಡುತ್ತವೆ:
|
| ck_out | ||
| ck_fr_in |
ಇನ್ಪುಟ್ |
ದ್ವಿಮುಖ ಕ್ರಮದಲ್ಲಿ, ನೀವು ಈ ಎರಡೂ ಸೆಟ್ಟಿಂಗ್ಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿದರೆ ಈ ಗಡಿಯಾರಗಳು ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಪಥಗಳಲ್ಲಿ ಪೂರ್ಣ-ದರ ಮತ್ತು ಅರ್ಧ-ದರದ DDIOS ಅನ್ನು ನೀಡುತ್ತವೆ.
ಉದಾಹರಣೆಗೆample, ck_fr_out ಔಟ್ಪುಟ್ ಪಥದಲ್ಲಿ ಪೂರ್ಣ-ದರ DDIO ಅನ್ನು ಫೀಡ್ ಮಾಡುತ್ತದೆ. |
| ck_fr_out | ||
| ck_hr_in | ||
| ck_hr_out | ||
| cke |
ಇನ್ಪುಟ್ |
ಗಡಿಯಾರ ಸಕ್ರಿಯಗೊಳಿಸಿ. |
ಕೋಷ್ಟಕ 6. ಮುಕ್ತಾಯ ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳು
ಮುಕ್ತಾಯದ ಇಂಟರ್ಫೇಸ್ GPIO IP ಕೋರ್ ಅನ್ನು I/O ಬಫರ್ಗಳಿಗೆ ಸಂಪರ್ಕಿಸುತ್ತದೆ.
|
ಸಿಗ್ನಲ್ ಹೆಸರು |
ನಿರ್ದೇಶನ |
ವಿವರಣೆ |
| ಸರಣಿ ಮುಕ್ತಾಯ ನಿಯಂತ್ರಣ |
ಇನ್ಪುಟ್ |
ಮುಕ್ತಾಯ ನಿಯಂತ್ರಣ ಬ್ಲಾಕ್ (OCT) ನಿಂದ ಬಫರ್ಗಳಿಗೆ ಇನ್ಪುಟ್. ಇದು ಬಫರ್ ಸರಣಿಯ ಪ್ರತಿರೋಧ ಮೌಲ್ಯವನ್ನು ಹೊಂದಿಸುತ್ತದೆ. |
| ಸಮಾನಾಂತರ ನಿಯಂತ್ರಣ |
ಇನ್ಪುಟ್ |
ಮುಕ್ತಾಯ ನಿಯಂತ್ರಣ ಬ್ಲಾಕ್ (OCT) ನಿಂದ ಬಫರ್ಗಳಿಗೆ ಇನ್ಪುಟ್. ಇದು ಬಫರ್ ಸಮಾನಾಂತರ ಪ್ರತಿರೋಧ ಮೌಲ್ಯವನ್ನು ಹೊಂದಿಸುತ್ತದೆ. |
ಕೋಷ್ಟಕ 7. ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳನ್ನು ಮರುಹೊಂದಿಸಿ
ಮರುಹೊಂದಿಸುವ ಇಂಟರ್ಫೇಸ್ GPIO IP ಕೋರ್ ಅನ್ನು DDIO ಗಳಿಗೆ ಸಂಪರ್ಕಿಸುತ್ತದೆ.
|
ಸಿಗ್ನಲ್ ಹೆಸರು |
ನಿರ್ದೇಶನ |
ವಿವರಣೆ |
| sclr |
ಇನ್ಪುಟ್ |
ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್. ನೀವು sset ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿದರೆ ಲಭ್ಯವಿರುವುದಿಲ್ಲ. |
| aclr |
ಇನ್ಪುಟ್ |
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್. ಹೆಚ್ಚು ಸಕ್ರಿಯವಾಗಿದೆ. ನೀವು ಆಸ್ತಿಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿದರೆ ಲಭ್ಯವಿರುವುದಿಲ್ಲ. |
| ಆಸ್ತಿ |
ಇನ್ಪುಟ್ |
ಅಸಮಕಾಲಿಕ ಸೆಟ್ ಇನ್ಪುಟ್. ಹೆಚ್ಚು ಸಕ್ರಿಯವಾಗಿದೆ. ನೀವು aclr ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿದರೆ ಲಭ್ಯವಿಲ್ಲ. |
| sset |
ಇನ್ಪುಟ್ |
ಸಿಂಕ್ರೊನಸ್ ಸೆಟ್ ಇನ್ಪುಟ್. ನೀವು sclr ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿದರೆ ಲಭ್ಯವಿಲ್ಲ. |
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ಪುಟ 12 ರಲ್ಲಿ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಬಸ್ ಹೆಚ್ಚಿನ ಮತ್ತು ಕಡಿಮೆ ಬಿಟ್ಗಳು
- ಇನ್ಪುಟ್, ಔಟ್ಪುಟ್ ಮತ್ತು OE ಪಥಗಳು ಒಂದೇ ಸ್ಪಷ್ಟ ಮತ್ತು ಪೂರ್ವನಿಗದಿ ಸಂಕೇತಗಳನ್ನು ಹಂಚಿಕೊಳ್ಳುತ್ತವೆ.
- ಔಟ್ಪುಟ್ ಮತ್ತು OE ಮಾರ್ಗವು ಒಂದೇ ಗಡಿಯಾರ ಸಂಕೇತಗಳನ್ನು ಹಂಚಿಕೊಳ್ಳುತ್ತದೆ.
ಡೇಟಾ ಇಂಟರ್ಫೇಸ್ಗಾಗಿ ಡೇಟಾ ಬಿಟ್-ಆರ್ಡರ್
ಚಿತ್ರ 9. ಡೇಟಾ ಬಿಟ್-ಆರ್ಡರ್ ಕನ್ವೆನ್ಷನ್
ಈ ಅಂಕಿ ಅಂಶವು ಡಿನ್, ಡೌಟ್ ಮತ್ತು ಓ ಡೇಟಾ ಸಿಗ್ನಲ್ಗಳಿಗೆ ಬಿಟ್-ಆರ್ಡರ್ ಕನ್ವೆನ್ಶನ್ ಅನ್ನು ತೋರಿಸುತ್ತದೆ.

- ಡೇಟಾ ಬಸ್ ಗಾತ್ರದ ಮೌಲ್ಯವು SIZE ಆಗಿದ್ದರೆ, LSB ಬಲ-ಅತ್ಯಂತ ಸ್ಥಾನದಲ್ಲಿದೆ.
- ಡೇಟಾ ಬಸ್ ಗಾತ್ರದ ಮೌಲ್ಯವು 2 × SIZE ಆಗಿದ್ದರೆ, ಬಸ್ SIZE ನ ಎರಡು ಪದಗಳಿಂದ ಮಾಡಲ್ಪಟ್ಟಿದೆ.
- ಡೇಟಾ ಬಸ್ ಗಾತ್ರದ ಮೌಲ್ಯವು 4 × SIZE ಆಗಿದ್ದರೆ, ಬಸ್ ಅನ್ನು SIZE ನ ನಾಲ್ಕು ಪದಗಳಿಂದ ಮಾಡಲಾಗಿದೆ.
- LSB ಪ್ರತಿ ಪದದ ಸರಿಯಾದ ಸ್ಥಾನದಲ್ಲಿದೆ.
- ಬಲಭಾಗದಲ್ಲಿರುವ ಪದವು ಔಟ್ಪುಟ್ ಬಸ್ಗಳಿಗೆ ಹೊರಡುವ ಮೊದಲ ಪದ ಮತ್ತು ಇನ್ಪುಟ್ ಬಸ್ಗಳಿಗೆ ಬರುವ ಮೊದಲ ಪದವನ್ನು ಸೂಚಿಸುತ್ತದೆ.
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ಪುಟ 5 ರಲ್ಲಿ ಇನ್ಪುಟ್ ಪಾತ್
ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಬಸ್ ಹೆಚ್ಚಿನ ಮತ್ತು ಕಡಿಮೆ ಬಿಟ್ಗಳು
ಇನ್ಪುಟ್ ಅಥವಾ ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿನ ಹೆಚ್ಚಿನ ಮತ್ತು ಕಡಿಮೆ ಬಿಟ್ಗಳನ್ನು ಡಿನ್ ಮತ್ತು ಡೌಟ್ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಬಸ್ಗಳಲ್ಲಿ ಸೇರಿಸಲಾಗಿದೆ.
ಇನ್ಪುಟ್ ಬಸ್
ಡಿನ್ ಬಸ್ಗಾಗಿ, datain_h ಮತ್ತು datain_l ಹೆಚ್ಚಿನ ಮತ್ತು ಕಡಿಮೆ ಬಿಟ್ಗಳಾಗಿದ್ದರೆ, ಪ್ರತಿ ಅಗಲವು datain_width ಆಗಿರುತ್ತದೆ:
- datain_h = ದಿನ್[(2 × datain_width – 1):datain_width]
- datain_l = ದಿನ್[(datain_width – 1):0]
ಉದಾಹರಣೆಗೆample, ದಿನ್[7:0] = 8'b11001010:
- datain_h = 4'b1100
- datain_l = 4'b1010
ಔಟ್ಪುಟ್ ಬಸ್
ಡೌಟ್ ಬಸ್ಗಾಗಿ, dataout_h ಮತ್ತು dataout_l ಹೆಚ್ಚಿನ ಮತ್ತು ಕಡಿಮೆ ಬಿಟ್ಗಳಾಗಿದ್ದರೆ, ಪ್ರತಿ ಅಗಲವು dataout_width ಆಗಿರುತ್ತದೆ:
- ಡೇಟಾಔಟ್_ಎಚ್ = ಡೌಟ್[(2 × ಡೇಟಾಔಟ್_ವಿಡ್ತ್ - 1):ಡೇಟಾಔಟ್_ವಿಡ್ತ್]
- dataout_l = ಡೌಟ್[(dataout_width – 1):0]
ಉದಾಹರಣೆಗೆampಲೆ, ಡೌಟ್[7:0] = 8'b11001010:
- dataout_h = 4'b1100
- dataout_l = 4'b1010
ಡೇಟಾ ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳು ಮತ್ತು ಸಂಬಂಧಿತ ಗಡಿಯಾರಗಳು
ಕೋಷ್ಟಕ 8. ಡೇಟಾ ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳು ಮತ್ತು ಸಂಬಂಧಿತ ಗಡಿಯಾರಗಳು
|
ಸಿಗ್ನಲ್ ಹೆಸರು |
ಪ್ಯಾರಾಮೀಟರ್ ಕಾನ್ಫಿಗರೇಶನ್ | ಗಡಿಯಾರ | ||
| ರಿಜಿಸ್ಟರ್ ಮೋಡ್ | ಅರ್ಧ ದರ |
ಪ್ರತ್ಯೇಕ ಗಡಿಯಾರಗಳು |
||
| ದಿನಾಹಾರ |
|
ಆಫ್ |
ಆಫ್ |
ck |
| ಡಿಡಿಒ |
On |
ಆಫ್ |
ck_hr | |
|
ಆಫ್ |
On |
ck_in | |
| ಡಿಡಿಒ |
On |
On |
ck_hr_in | |
|
|
ಆಫ್ |
ಆಫ್ |
ck |
| ಡಿಡಿಒ |
On |
ಆಫ್ |
ck_hr | |
|
ಆಫ್ |
On |
ck_out | |
| ಡಿಡಿಒ |
On |
On |
ck_hr_out | |
|
|
ಆಫ್ |
ಆಫ್ |
ck |
| ಡಿಡಿಒ |
On |
ಆಫ್ |
ck_fr | |
|
ಆಫ್ |
On |
|
|
| ಡಿಡಿಒ |
On |
On |
|
|
ಸಂಪನ್ಮೂಲ ಬಳಕೆ ಮತ್ತು ವಿನ್ಯಾಸ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಪರಿಶೀಲಿಸಲಾಗುತ್ತಿದೆ
ನಿಮ್ಮ ವಿನ್ಯಾಸದ ಸಂಪನ್ಮೂಲ ಬಳಕೆ ಮತ್ತು ಕಾರ್ಯಕ್ಷಮತೆಯ ಕುರಿತು ವಿವರಗಳನ್ನು ಪಡೆಯಲು ನೀವು ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಂಕಲನ ವರದಿಗಳನ್ನು ಉಲ್ಲೇಖಿಸಬಹುದು.
- ಮೆನುವಿನಲ್ಲಿ, ಕ್ಲಿಕ್ ಮಾಡಿ ಸಂಸ್ಕರಣೆ ➤ ಸಂಕಲನವನ್ನು ಪ್ರಾರಂಭಿಸಿ ಪೂರ್ಣ ಸಂಕಲನವನ್ನು ನಡೆಸಲು.
- ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಿದ ನಂತರ, ಕ್ಲಿಕ್ ಮಾಡಿ ಸಂಸ್ಕರಣೆ ➤ ಸಂಕಲನ ವರದಿ.
- ಅನ್ನು ಬಳಸುವುದು ಪರಿವಿಡಿ, ಗೆ ನ್ಯಾವಿಗೇಟ್ ಮಾಡಿ ಫಿಟ್ಟರ್ ➤ ಸಂಪನ್ಮೂಲ ವಿಭಾಗ.
ಎ. ಗೆ view ಸಂಪನ್ಮೂಲ ಬಳಕೆಯ ಮಾಹಿತಿ, ಆಯ್ಕೆಮಾಡಿ ಸಂಪನ್ಮೂಲ ಬಳಕೆಯ ಸಾರಾಂಶ.
ಬಿ. ಗೆ view ಸಂಪನ್ಮೂಲ ಬಳಕೆಯ ಮಾಹಿತಿ, ಆಯ್ಕೆಮಾಡಿ ಘಟಕದಿಂದ ಸಂಪನ್ಮೂಲ ಬಳಕೆ.
GPIO Intel FPGA IP ಪ್ಯಾರಾಮೀಟರ್ ಸೆಟ್ಟಿಂಗ್ಗಳು
ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್ವೇರ್ನಲ್ಲಿ ನೀವು GPIO IP ಕೋರ್ಗಾಗಿ ಪ್ಯಾರಾಮೀಟರ್ ಸೆಟ್ಟಿಂಗ್ಗಳನ್ನು ಹೊಂದಿಸಬಹುದು. ಆಯ್ಕೆಗಳ ಮೂರು ಗುಂಪುಗಳಿವೆ: ಸಾಮಾನ್ಯ, ಬಫರ್, ಮತ್ತು ನೋಂದಾಯಿಸುತ್ತದೆ.
ಕೋಷ್ಟಕ 9. GPIO IP ಕೋರ್ ನಿಯತಾಂಕಗಳು - ಸಾಮಾನ್ಯ
|
ಪ್ಯಾರಾಮೀಟರ್ |
ಸ್ಥಿತಿ | ಅನುಮತಿಸಲಾದ ಮೌಲ್ಯಗಳು |
ವಿವರಣೆ |
| ಡೇಟಾ ನಿರ್ದೇಶನ |
— |
|
GPIO ಗಾಗಿ ಡೇಟಾ ದಿಕ್ಕನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. |
| ಡೇಟಾ ಅಗಲ |
— |
1 ರಿಂದ 128 | ಡೇಟಾ ಅಗಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. |
| ಪರಂಪರೆಯ ಉನ್ನತ ಮಟ್ಟದ ಪೋರ್ಟ್ ಹೆಸರುಗಳನ್ನು ಬಳಸಿ |
— |
|
Stratix V, Arria V, ಮತ್ತು Cyclone V ಸಾಧನಗಳಲ್ಲಿ ಅದೇ ಪೋರ್ಟ್ ಹೆಸರುಗಳನ್ನು ಬಳಸಿ. ಉದಾಹರಣೆಗೆample, ಡೌಟ್ ಡೇಟಾಔಟ್_ಎಚ್ ಮತ್ತು ಡಾಟಾಔಟ್_ಎಲ್ ಆಗುತ್ತದೆ ಮತ್ತು ಡಿನ್ ಡೇಟಾಇನ್_ಎಚ್ ಮತ್ತು ಡಾಟಾಇನ್_ಎಲ್ ಆಗುತ್ತದೆ. ಗಮನಿಸಿ: ಈ ಪೋರ್ಟ್ಗಳ ವರ್ತನೆಯು ಸ್ಟ್ರಾಟಿಕ್ಸ್ ವಿ, ಅರ್ರಿಯಾ ವಿ ಮತ್ತು ಸೈಕ್ಲೋನ್ ವಿ ಸಾಧನಗಳಿಗಿಂತ ಭಿನ್ನವಾಗಿದೆ. ವಲಸೆ ಮಾರ್ಗಸೂಚಿಗಾಗಿ, ಸಂಬಂಧಿತ ಮಾಹಿತಿಯನ್ನು ನೋಡಿ. |
ಕೋಷ್ಟಕ 10. GPIO IP ಕೋರ್ ನಿಯತಾಂಕಗಳು - ಬಫರ್
|
ಪ್ಯಾರಾಮೀಟರ್ |
ಸ್ಥಿತಿ | ಅನುಮತಿಸಲಾದ ಮೌಲ್ಯಗಳು |
ವಿವರಣೆ |
| ಡಿಫರೆನ್ಷಿಯಲ್ ಬಫರ್ ಬಳಸಿ |
— |
|
ಆನ್ ಮಾಡಿದರೆ, ಡಿಫರೆನ್ಷಿಯಲ್ I/O ಬಫರ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ. |
| ಹುಸಿ ಡಿಫರೆನ್ಷಿಯಲ್ ಬಫರ್ ಬಳಸಿ |
|
|
ಔಟ್ಪುಟ್ ಮೋಡ್ನಲ್ಲಿ ಆನ್ ಮಾಡಿದರೆ, ಸ್ಯೂಡೋ ಡಿಫರೆನ್ಷಿಯಲ್ ಔಟ್ಪುಟ್ ಬಫರ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ. ನೀವು ಆನ್ ಮಾಡಿದರೆ ಬೈಡೈರೆಕ್ಷನಲ್ ಮೋಡ್ಗಾಗಿ ಈ ಆಯ್ಕೆಯು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಆನ್ ಆಗುತ್ತದೆ ಡಿಫರೆನ್ಷಿಯಲ್ ಬಫರ್ ಬಳಸಿ. |
| ಬಸ್-ಹೋಲ್ಡ್ ಸರ್ಕ್ಯೂಟ್ರಿ ಬಳಸಿ |
|
|
ಆನ್ ಮಾಡಿದರೆ, ಬಸ್ ಹೋಲ್ಡ್ ಸರ್ಕ್ಯೂಟ್ರಿಯು ಅದರ ಕೊನೆಯ-ಚಾಲಿತ ಸ್ಥಿತಿಯಲ್ಲಿ I/O ಪಿನ್ನಲ್ಲಿ ಸಿಗ್ನಲ್ ಅನ್ನು ದುರ್ಬಲವಾಗಿ ಹಿಡಿದಿಟ್ಟುಕೊಳ್ಳುತ್ತದೆ, ಅಲ್ಲಿ ಔಟ್ಪುಟ್ ಬಫರ್ ಸ್ಥಿತಿಯು 1 ಅಥವಾ 0 ಆಗಿರುತ್ತದೆ ಆದರೆ ಹೆಚ್ಚಿನ ಪ್ರತಿರೋಧವಲ್ಲ. |
| ತೆರೆದ ಡ್ರೈನ್ ಔಟ್ಪುಟ್ ಬಳಸಿ |
|
|
ಆನ್ ಮಾಡಿದರೆ, ಓಪನ್ ಡ್ರೈನ್ ಔಟ್ಪುಟ್ ನಿಮ್ಮ ಸಿಸ್ಟಂನಲ್ಲಿನ ಬಹು ಸಾಧನಗಳಿಂದ ಪ್ರತಿಪಾದಿಸಬಹುದಾದ ಅಡಚಣೆ ಮತ್ತು ಬರೆಯುವ ಸಕ್ರಿಯಗೊಳಿಸುವ ಸಂಕೇತಗಳಂತಹ ಸಿಸ್ಟಮ್-ಮಟ್ಟದ ನಿಯಂತ್ರಣ ಸಂಕೇತಗಳನ್ನು ಒದಗಿಸಲು ಸಾಧನವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ. |
| ಔಟ್ಪುಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಪೋರ್ಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ | ಡೇಟಾ ನಿರ್ದೇಶನ = ಔಟ್ಪುಟ್ |
|
ಆನ್ ಮಾಡಿದರೆ, OE ಪೋರ್ಟ್ಗೆ ಬಳಕೆದಾರರ ಇನ್ಪುಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ. ಬೈಡೈರೆಕ್ಷನಲ್ ಮೋಡ್ಗಾಗಿ ಈ ಆಯ್ಕೆಯು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಆನ್ ಆಗಿದೆ. |
| ಸರಣಿ ಮುಕ್ತಾಯ / ಸಮಾನಾಂತರ ಪೋರ್ಟ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ |
— |
|
ಆನ್ ಮಾಡಿದರೆ, ಔಟ್ಪುಟ್ ಬಫರ್ನ ಸರಣಿನಿರ್ಣಯ ನಿಯಂತ್ರಣ ಮತ್ತು ಸಮಾನಾಂತರ ನಿಯಂತ್ರಣ ನಿಯಂತ್ರಣ ಪೋರ್ಟ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ. |
ಕೋಷ್ಟಕ 11. GPIO IP ಕೋರ್ ನಿಯತಾಂಕಗಳು - ನೋಂದಣಿಗಳು
| ಪ್ಯಾರಾಮೀಟರ್ | ಸ್ಥಿತಿ | ಅನುಮತಿಸಲಾದ ಮೌಲ್ಯಗಳು | ವಿವರಣೆ |
| ರಿಜಿಸ್ಟರ್ ಮೋಡ್ |
— |
|
GPIO IP ಕೋರ್ಗಾಗಿ ರಿಜಿಸ್ಟರ್ ಮೋಡ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ:
|
| ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ / ಮೊದಲೇ ಪೋರ್ಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ |
|
|
ಸಿಂಕ್ರೊನಸ್ ರೀಸೆಟ್ ಪೋರ್ಟ್ ಅನ್ನು ಹೇಗೆ ಕಾರ್ಯಗತಗೊಳಿಸಬೇಕು ಎಂಬುದನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
|
| ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ / ಮೊದಲೇ ಪೋರ್ಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ |
|
|
ಅಸಮಕಾಲಿಕ ಮರುಹೊಂದಿಸುವ ಪೋರ್ಟ್ ಅನ್ನು ಹೇಗೆ ಕಾರ್ಯಗತಗೊಳಿಸಬೇಕು ಎಂಬುದನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ACLR ಮತ್ತು ASET ಸಂಕೇತಗಳು ಹೆಚ್ಚು ಸಕ್ರಿಯವಾಗಿವೆ. |
| ಗಡಿಯಾರವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಪೋರ್ಟ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ | ರಿಜಿಸ್ಟರ್ ಮೋಡ್ = DDIO |
|
|
| ಅರ್ಧ ದರದ ತರ್ಕ | ರಿಜಿಸ್ಟರ್ ಮೋಡ್ = DDIO |
|
ಆನ್ ಮಾಡಿದರೆ, ಅರ್ಧ-ದರ DDIO ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ. |
| ಪ್ರತ್ಯೇಕ ಇನ್ಪುಟ್ / ಔಟ್ಪುಟ್ ಗಡಿಯಾರಗಳು |
|
|
ಆನ್ ಮಾಡಿದರೆ, ದ್ವಿಮುಖ ಮೋಡ್ನಲ್ಲಿ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಮಾರ್ಗಗಳಿಗಾಗಿ ಪ್ರತ್ಯೇಕ ಗಡಿಯಾರಗಳನ್ನು (CK_IN ಮತ್ತು CK_OUT) ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ. |
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
- ಪುಟ 12 ರಲ್ಲಿ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಬಸ್ ಹೆಚ್ಚಿನ ಮತ್ತು ಕಡಿಮೆ ಬಿಟ್ಗಳು
- ಮಾರ್ಗಸೂಚಿ: ಪುಟ 23 ರಲ್ಲಿ ಸ್ಥಳಾಂತರಗೊಂಡ IP ನಲ್ಲಿ datain_h ಮತ್ತು datain_l ಪೋರ್ಟ್ಗಳನ್ನು ಬದಲಾಯಿಸಿ
ಪ್ಯಾಕಿಂಗ್ ಅನ್ನು ನೋಂದಾಯಿಸಿ
ಪ್ರದೇಶ ಮತ್ತು ಸಂಪನ್ಮೂಲ ಬಳಕೆಯನ್ನು ಉಳಿಸಲು ಪರಿಧಿಯಲ್ಲಿ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಪ್ಯಾಕ್ ಮಾಡಲು GPIO IP ಕೋರ್ ನಿಮಗೆ ಅನುಮತಿಸುತ್ತದೆ.
ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಮಾರ್ಗದಲ್ಲಿ ನೀವು ಪೂರ್ಣ-ದರ DDIO ಅನ್ನು ಫ್ಲಿಪ್ ಫ್ಲಾಪ್ ಆಗಿ ಕಾನ್ಫಿಗರ್ ಮಾಡಬಹುದು. ಹಾಗೆ ಮಾಡಲು, ಈ ಕೋಷ್ಟಕದಲ್ಲಿ ಪಟ್ಟಿ ಮಾಡಲಾದ .qsf ಕಾರ್ಯಯೋಜನೆಗಳನ್ನು ಸೇರಿಸಿ.
ಕೋಷ್ಟಕ 12. ರಿಜಿಸ್ಟರ್ ಪ್ಯಾಕಿಂಗ್ QSF ನಿಯೋಜನೆಗಳು
|
ಮಾರ್ಗ |
QSF ನಿಯೋಜನೆ |
| ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಪ್ಯಾಕಿಂಗ್ | QSF ನಿಯೋಜನೆ set_instance_assignment -name FAST_INPUT_REGISTER ಆನ್ -ಟು |
| ಔಟ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಪ್ಯಾಕಿಂಗ್ | set_instance_assignment -name FAST_OUTPUT_REGISTER ಆನ್ -ಟು |
| ಔಟ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಪ್ಯಾಕಿಂಗ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ | set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ಆನ್ -ಟು |
ಗಮನಿಸಿ: ಈ ಕಾರ್ಯಯೋಜನೆಯು ರಿಜಿಸ್ಟರ್ ಪ್ಯಾಕಿಂಗ್ ಅನ್ನು ಖಾತರಿಪಡಿಸುವುದಿಲ್ಲ. ಆದಾಗ್ಯೂ, ಈ ಕಾರ್ಯಯೋಜನೆಯು ಫಿಟ್ಟರ್ಗೆ ಕಾನೂನುಬದ್ಧ ನಿಯೋಜನೆಯನ್ನು ಕಂಡುಹಿಡಿಯಲು ಅನುವು ಮಾಡಿಕೊಡುತ್ತದೆ. ಇಲ್ಲದಿದ್ದರೆ, ಫಿಟ್ಟರ್ ಫ್ಲಿಪ್ ಫ್ಲಾಪ್ ಅನ್ನು ಕೋರ್ನಲ್ಲಿ ಇರಿಸುತ್ತದೆ.
GPIO ಇಂಟೆಲ್ FPGA IP ಟೈಮಿಂಗ್
GPIO IP ಕೋರ್ನ ಕಾರ್ಯಕ್ಷಮತೆಯು I/O ನಿರ್ಬಂಧಗಳು ಮತ್ತು ಗಡಿಯಾರದ ಹಂತಗಳನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ. ನಿಮ್ಮ GPIO ಕಾನ್ಫಿಗರೇಶನ್ಗಾಗಿ ಸಮಯವನ್ನು ಮೌಲ್ಯೀಕರಿಸಲು, ನೀವು ಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಕವನ್ನು ಬಳಸಬೇಕೆಂದು ಇಂಟೆಲ್ ಶಿಫಾರಸು ಮಾಡುತ್ತದೆ.
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಕ
ಸಮಯ ಘಟಕಗಳು
GPIO IP ಕೋರ್ ಟೈಮಿಂಗ್ ಘಟಕಗಳು ಮೂರು ಮಾರ್ಗಗಳನ್ನು ಒಳಗೊಂಡಿರುತ್ತವೆ.
- I/O ಇಂಟರ್ಫೇಸ್ ಮಾರ್ಗಗಳು-FPGA ಯಿಂದ ಬಾಹ್ಯ ಸ್ವೀಕರಿಸುವ ಸಾಧನಗಳಿಗೆ ಮತ್ತು ಬಾಹ್ಯ ಪ್ರಸಾರ ಸಾಧನಗಳಿಂದ FPGA ಗೆ.
- ಡೇಟಾ ಮತ್ತು ಗಡಿಯಾರದ ಕೋರ್ ಇಂಟರ್ಫೇಸ್ ಪಥಗಳು - I/O ನಿಂದ ಕೋರ್ಗೆ ಮತ್ತು ಕೋರ್ನಿಂದ I/O ಗೆ.
- ವರ್ಗಾವಣೆ ಮಾರ್ಗಗಳು-ಅರ್ಧ-ದರದಿಂದ ಪೂರ್ಣ-ದರ DDIO ಗೆ ಮತ್ತು ಪೂರ್ಣ-ದರದಿಂದ ಅರ್ಧ-ದರ DDIO ಗೆ.
ಗಮನಿಸಿ: ಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಕವು DDIO_IN ಮತ್ತು DDIO_OUT ಬ್ಲಾಕ್ಗಳ ಒಳಗಿನ ಮಾರ್ಗವನ್ನು ಕಪ್ಪು ಪೆಟ್ಟಿಗೆಗಳಾಗಿ ಪರಿಗಣಿಸುತ್ತದೆ.
ಚಿತ್ರ 10. ಇನ್ಪುಟ್ ಪಾತ್ ಟೈಮಿಂಗ್ ಕಾಂಪೊನೆಂಟ್ಗಳು

ಚಿತ್ರ 11. ಔಟ್ಪುಟ್ ಪಾತ್ ಟೈಮಿಂಗ್ ಕಾಂಪೊನೆಂಟ್ಗಳು

ಚಿತ್ರ 12. ಔಟ್ಪುಟ್ ಪಾತ್ ಟೈಮಿಂಗ್ ಕಾಂಪೊನೆಂಟ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ

ವಿಳಂಬ ಅಂಶಗಳು
Intel Quartus Prime ಸಾಫ್ಟ್ವೇರ್ I/O ಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಣೆಯಲ್ಲಿ ಸ್ಲಾಕ್ ಅನ್ನು ಗರಿಷ್ಠಗೊಳಿಸಲು ವಿಳಂಬ ಅಂಶಗಳನ್ನು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಹೊಂದಿಸುವುದಿಲ್ಲ. ಸಮಯವನ್ನು ಮುಚ್ಚಲು ಅಥವಾ ಸ್ಲಾಕ್ ಅನ್ನು ಗರಿಷ್ಠಗೊಳಿಸಲು, ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸೆಟ್ಟಿಂಗ್ಗಳಲ್ಲಿ ವಿಳಂಬ ಅಂಶಗಳನ್ನು ಹಸ್ತಚಾಲಿತವಾಗಿ ಹೊಂದಿಸಿ file (.qsf).
ಕೋಷ್ಟಕ 13. ವಿಳಂಬ ಅಂಶಗಳು .qsf ನಿಯೋಜನೆಗಳು
ವಿಳಂಬ ಅಂಶಗಳನ್ನು ಪ್ರವೇಶಿಸಲು .qsf ನಲ್ಲಿ ಈ ಕಾರ್ಯಯೋಜನೆಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
| ವಿಳಂಬ ಅಂಶ | .qsf ನಿಯೋಜನೆ |
| ಇನ್ಪುಟ್ ವಿಳಂಬ ಅಂಶ | ಸೆಟ್_ಇನ್ಸ್ಟನ್ಸ್_ಅನಿಯೋಜನೆ -ಹೆಸರು INPUT_DELAY_CHAIN <0..63> |
| ಔಟ್ಪುಟ್ ವಿಳಂಬ ಅಂಶ | ಸೆಟ್_ಇನ್ಸ್ಟನ್ಸ್_ಅನಿಯೋಜನೆ -ಹೆಸರು OUTPUT_DELAY_CHAIN <0..15> |
| ಔಟ್ಪುಟ್ ವಿಳಂಬ ಅಂಶವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ | ಸೆಟ್_ಇನ್ಸ್ಟನ್ಸ್_ಅನಿಯೋಜನೆ -ಹೆಸರು OE_DELAY_CHAIN <0..15> |
ಟೈಮಿಂಗ್ ಅನಾಲಿಸಿಸ್
ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್ವೇರ್ GPIO IP ಕೋರ್ಗಾಗಿ SDC ಸಮಯದ ನಿರ್ಬಂಧಗಳನ್ನು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಉತ್ಪಾದಿಸುವುದಿಲ್ಲ. ನೀವು ಸಮಯದ ನಿರ್ಬಂಧಗಳನ್ನು ಹಸ್ತಚಾಲಿತವಾಗಿ ನಮೂದಿಸಬೇಕು.
ಸಮಯ ಮಾರ್ಗಸೂಚಿಗಳನ್ನು ಅನುಸರಿಸಿ ಮತ್ತು ಉದಾampಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಕವು I/O ಸಮಯವನ್ನು ಸರಿಯಾಗಿ ವಿಶ್ಲೇಷಿಸುತ್ತದೆ ಎಂದು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಲು les.
- I/O ಇಂಟರ್ಫೇಸ್ ಪಾತ್ಗಳಿಗೆ ಸರಿಯಾದ ಸಮಯದ ವಿಶ್ಲೇಷಣೆಯನ್ನು ಮಾಡಲು, .sdc ನಲ್ಲಿ ಸಿಸ್ಟಮ್ ಕ್ಲಾಕ್ ಪಿನ್ ವಿರುದ್ಧ ಡೇಟಾ ಪಿನ್ಗಳ ಸಿಸ್ಟಮ್ ಮಟ್ಟದ ನಿರ್ಬಂಧಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ file.
- ಕೋರ್ ಇಂಟರ್ಫೇಸ್ ಪಥಗಳಿಗಾಗಿ ಸರಿಯಾದ ಸಮಯ ವಿಶ್ಲೇಷಣೆಯನ್ನು ಮಾಡಲು, ಈ ಗಡಿಯಾರ ಸೆಟ್ಟಿಂಗ್ಗಳನ್ನು .sdc ನಲ್ಲಿ ವ್ಯಾಖ್ಯಾನಿಸಿ file:
- ಕೋರ್ ರೆಜಿಸ್ಟರ್ಗಳಿಗೆ ಗಡಿಯಾರ
— ಸರಳ ರಿಜಿಸ್ಟರ್ ಮತ್ತು DDIO ಮೋಡ್ಗಳಿಗಾಗಿ I/O ರೆಜಿಸ್ಟರ್ಗಳಿಗೆ ಗಡಿಯಾರ
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
AN 433: ಮೂಲ-ಸಿಂಕ್ರೊನಸ್ ಇಂಟರ್ಫೇಸ್ಗಳನ್ನು ನಿರ್ಬಂಧಿಸುವುದು ಮತ್ತು ವಿಶ್ಲೇಷಿಸುವುದು
ಮೂಲ-ಸಿಂಕ್ರೊನಸ್ ಇಂಟರ್ಫೇಸ್ಗಳನ್ನು ನಿರ್ಬಂಧಿಸುವ ಮತ್ತು ವಿಶ್ಲೇಷಿಸುವ ತಂತ್ರಗಳನ್ನು ವಿವರಿಸುತ್ತದೆ.
ಏಕ ಡೇಟಾ ದರ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್
ಚಿತ್ರ 13. ಏಕ ಡೇಟಾ ದರ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್

ಕೋಷ್ಟಕ 14. ಏಕ ಡೇಟಾ ದರ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ .sdc ಕಮಾಂಡ್ ಎಕ್ಸ್ampಕಡಿಮೆ
| ಆಜ್ಞೆ | ಕಮಾಂಡ್ ಎಕ್ಸ್ample | ವಿವರಣೆ |
| ರಚಿಸಲು_ಗಡಿಯಾರ | create_clock -name sdr_in_clk -period “100 MHz” sdr_in_clk |
ಇನ್ಪುಟ್ ಗಡಿಯಾರಕ್ಕಾಗಿ ಗಡಿಯಾರ ಸೆಟ್ಟಿಂಗ್ ಅನ್ನು ರಚಿಸುತ್ತದೆ. |
| ಸೆಟ್_ಇನ್ಪುಟ್_ವಿಳಂಬ | set_input_delay -clock sdr_in_clk 0.15 sdr_in_data |
0.15 ns ಇನ್ಪುಟ್ ವಿಳಂಬದೊಂದಿಗೆ ಇನ್ಪುಟ್ I/O ನ ಸಮಯವನ್ನು ವಿಶ್ಲೇಷಿಸಲು ಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಕಕ್ಕೆ ಸೂಚನೆ ನೀಡುತ್ತದೆ. |
ಪೂರ್ಣ-ದರ ಅಥವಾ ಅರ್ಧ-ದರ DDIO ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್
ಪೂರ್ಣ-ದರ ಮತ್ತು ಅರ್ಧ-ದರದ DDIO ಇನ್ಪುಟ್ ರೆಜಿಸ್ಟರ್ಗಳ ಇನ್ಪುಟ್ ಭಾಗವು ಒಂದೇ ಆಗಿರುತ್ತದೆ. ಆಫ್-ಚಿಪ್ ಟ್ರಾನ್ಸ್ಮಿಟರ್ ಅನ್ನು ಎಫ್ಪಿಜಿಎಗೆ ಮಾದರಿ ಮಾಡಲು ವರ್ಚುವಲ್ ಗಡಿಯಾರವನ್ನು ಬಳಸಿಕೊಂಡು ನೀವು ಸಿಸ್ಟಮ್ ಅನ್ನು ಸರಿಯಾಗಿ ನಿರ್ಬಂಧಿಸಬಹುದು.
ಚಿತ್ರ 14. ಪೂರ್ಣ-ದರ ಅಥವಾ ಅರ್ಧ-ದರ DDIO ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್

ಕೋಷ್ಟಕ 15. ಪೂರ್ಣ-ದರ ಅಥವಾ ಅರ್ಧ-ದರ DDIO ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ .sdc ಕಮಾಂಡ್ ಎಕ್ಸ್ampಕಡಿಮೆ
| ಆಜ್ಞೆ | ಕಮಾಂಡ್ ಎಕ್ಸ್ample | ವಿವರಣೆ |
| ರಚಿಸಲು_ಗಡಿಯಾರ | create_clock -ಹೆಸರು virtual_clock - ಅವಧಿ "200 MHz" create_clock -name ddio_in_clk - ಅವಧಿ “200 MHz” ddio_in_clk |
ವರ್ಚುವಲ್ ಗಡಿಯಾರ ಮತ್ತು DDIO ಗಡಿಯಾರಕ್ಕಾಗಿ ಗಡಿಯಾರ ಸೆಟ್ಟಿಂಗ್ ಅನ್ನು ರಚಿಸಿ. |
| ಸೆಟ್_ಇನ್ಪುಟ್_ವಿಳಂಬ | set_input_delay -clock virtual_clock 0.25 ddio_in_data set_input_delay -add_delay -ಕ್ಲಾಕ್_ಫಾಲ್ -ಕ್ಲಾಕ್ ವರ್ಚುವಲ್_ಕ್ಲಾಕ್ 0.25 ddio_in_data |
ಧನಾತ್ಮಕ ಗಡಿಯಾರದ ಅಂಚು ಮತ್ತು ವರ್ಗಾವಣೆಯ ಋಣಾತ್ಮಕ ಗಡಿಯಾರದ ತುದಿಯನ್ನು ವಿಶ್ಲೇಷಿಸಲು ಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಕಕ್ಕೆ ಸೂಚಿಸಿ. ಎರಡನೇ set_input_delay ಆಜ್ಞೆಯಲ್ಲಿ -add_delay ಅನ್ನು ಗಮನಿಸಿ. |
| ಸೆಟ್_ಸುಳ್ಳು_ಮಾರ್ಗ | set_false_path -fall_from virtual_clock -rise_to ddio_in_clk ಸೆಟ್_ಸುಳ್ಳು_ಮಾರ್ಗ -ರೈಸ್_ಇಂದ virtual_clock -fall_to ddio_in_clk |
ಋಣಾತ್ಮಕ ಅಂಚಿನ ಪ್ರಚೋದಿತ ರಿಜಿಸ್ಟರ್ಗೆ ಧನಾತ್ಮಕ ಗಡಿಯಾರದ ತುದಿಯನ್ನು ನಿರ್ಲಕ್ಷಿಸಲು ಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಕಕ್ಕೆ ಸೂಚಿಸಿ ಮತ್ತು ಧನಾತ್ಮಕ ಗಡಿಯಾರದ ತುದಿಯನ್ನು ಧನಾತ್ಮಕ ಅಂಚಿನ ಟ್ರಿಗರ್ಡ್ ರಿಜಿಸ್ಟರ್ಗೆ ನಿರ್ಲಕ್ಷಿಸಿ.
ಗಮನಿಸಿ: ck_hr ಆವರ್ತನವು ck_fr ಆವರ್ತನದ ಅರ್ಧದಷ್ಟು ಇರಬೇಕು. I/O PLL ಗಡಿಯಾರಗಳನ್ನು ಚಾಲನೆ ಮಾಡಿದರೆ, ನೀವು deriv_pll_clocks .sdc ಆಜ್ಞೆಯನ್ನು ಬಳಸುವುದನ್ನು ಪರಿಗಣಿಸಬಹುದು. |
ಏಕ ಡೇಟಾ ದರ ಔಟ್ಪುಟ್ ರಿಜಿಸ್ಟರ್
ಚಿತ್ರ 15. ಏಕ ಡೇಟಾ ದರ ಔಟ್ಪುಟ್ ರಿಜಿಸ್ಟರ್

ಕೋಷ್ಟಕ 16. ಏಕ ಡೇಟಾ ದರ ಔಟ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ .sdc ಕಮಾಂಡ್ ಎಕ್ಸ್ampಕಡಿಮೆ
| ಆಜ್ಞೆ | ಕಮಾಂಡ್ ಎಕ್ಸ್ample | ವಿವರಣೆ |
| ರಚಿಸಲು_ಗಡಿಯಾರ ಮತ್ತು ರಚಿಸಲು_ಜನರೇಟೆಡ್_ಗಡಿಯಾರ | create_clock -ಹೆಸರು sdr_out_clk - ಅವಧಿ "100 MHz" sdr_out_clk create_generated_clock -source sdr_out_clk -ಹೆಸರು sdr_out_outclk sdr_out_outclk |
ರವಾನಿಸಲು ಮೂಲ ಗಡಿಯಾರ ಮತ್ತು ಔಟ್ಪುಟ್ ಗಡಿಯಾರವನ್ನು ರಚಿಸಿ. |
| ಸೆಟ್_ಔಟ್ಪುಟ್_ವಿಳಂಬ | set_output_delay -clock sdr_out_clk 0.45 sdr_out_data |
ರವಾನಿಸಲು ಔಟ್ಪುಟ್ ಗಡಿಯಾರದ ವಿರುದ್ಧ ಪ್ರಸಾರ ಮಾಡಲು ಔಟ್ಪುಟ್ ಡೇಟಾವನ್ನು ವಿಶ್ಲೇಷಿಸಲು ಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಕಕ್ಕೆ ಸೂಚನೆ ನೀಡುತ್ತದೆ. |
ಪೂರ್ಣ-ದರ ಅಥವಾ ಅರ್ಧ-ದರ DDIO ಔಟ್ಪುಟ್ ರಿಜಿಸ್ಟರ್
ಪೂರ್ಣ-ದರ ಮತ್ತು ಅರ್ಧ-ದರದ DDIO ಔಟ್ಪುಟ್ ರೆಜಿಸ್ಟರ್ಗಳ ಔಟ್ಪುಟ್ ಭಾಗವು ಒಂದೇ ಆಗಿರುತ್ತದೆ.
ಕೋಷ್ಟಕ 17. DDIO ಔಟ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ .sdc ಕಮಾಂಡ್ ಎಕ್ಸ್ampಕಡಿಮೆ
| ಆಜ್ಞೆ | ಕಮಾಂಡ್ ಎಕ್ಸ್ample | ವಿವರಣೆ |
| ರಚಿಸಲು_ಗಡಿಯಾರ ಮತ್ತು ರಚಿಸಲು_ಜನರೇಟೆಡ್_ಗಡಿಯಾರ | create_clock -ಹೆಸರು ddio_out_fr_clk -ಅವಧಿ “200 MHz” ddio_out_fr_clk create_generated_clock -source ddio_out_fr_clk -ಹೆಸರು ddio_out_fr_outclk ddio_out_fr_outclk |
ಗಡಿಯಾರಗಳನ್ನು DDIO ಗೆ ಮತ್ತು ರವಾನಿಸಲು ಗಡಿಯಾರವನ್ನು ರಚಿಸಿ. |
| ಸೆಟ್_ಔಟ್ಪುಟ್_ವಿಳಂಬ | set_output_delay -ಗಡಿಯಾರ ddio_out_fr_outclk 0.55 ddio_out_fr_data set_output_delay -add_delay -ಗಡಿಯಾರ_ಪತನ -ಗಡಿಯಾರ ddio_out_fr_outclk 0.55 ddio_out_fr_data |
ಔಟ್ಪುಟ್ ಗಡಿಯಾರದ ವಿರುದ್ಧ ಧನಾತ್ಮಕ ಮತ್ತು ಋಣಾತ್ಮಕ ಡೇಟಾವನ್ನು ವಿಶ್ಲೇಷಿಸಲು ಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಕಕ್ಕೆ ಸೂಚಿಸಿ. |
| ಸೆಟ್_ಸುಳ್ಳು_ಮಾರ್ಗ | ಸೆಟ್_ಸುಳ್ಳು_ಮಾರ್ಗ -ರೈಸ್_ಇಂದ ddio_out_fr_clk -fall_to ddio_out_fr_outclk set_false_path -fall_from ddio_out_fr_clk -rise_to ddio_out_fr_outclk |
ಔಟ್ಪುಟ್ ಗಡಿಯಾರದ ಬೀಳುವ ಅಂಚಿನ ವಿರುದ್ಧ ಮೂಲ ಗಡಿಯಾರದ ಏರುತ್ತಿರುವ ಅಂಚನ್ನು ನಿರ್ಲಕ್ಷಿಸಲು ಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಕಕ್ಕೆ ಸೂಚಿಸಿ, ಮತ್ತು ಔಟ್ಪುಟ್ ಗಡಿಯಾರದ ಏರುತ್ತಿರುವ ಅಂಚಿನ ವಿರುದ್ಧ ಮೂಲ ಗಡಿಯಾರದ ಬೀಳುವ ಅಂಚನ್ನು |
ಸಮಯ ಮುಚ್ಚುವ ಮಾರ್ಗಸೂಚಿಗಳು
GPIO ಇನ್ಪುಟ್ ರೆಜಿಸ್ಟರ್ಗಳಿಗಾಗಿ, ನೀವು ಇನ್ಪುಟ್ ವಿಳಂಬ ಸರಪಳಿಯನ್ನು ಹೊಂದಿಸದಿದ್ದರೆ ಇನ್ಪುಟ್ I/O ವರ್ಗಾವಣೆಯು ಹೋಲ್ಡ್ ಸಮಯವನ್ನು ವಿಫಲಗೊಳಿಸುವ ಸಾಧ್ಯತೆಯಿದೆ. ಡೇಟಾ ವಿಳಂಬಕ್ಕಿಂತ ಗಡಿಯಾರದ ವಿಳಂಬವು ದೊಡ್ಡದಾಗಿರುವುದರಿಂದ ಈ ವೈಫಲ್ಯ ಉಂಟಾಗುತ್ತದೆ.
ಹೋಲ್ಡ್ ಸಮಯವನ್ನು ಪೂರೈಸಲು, ಇನ್ಪುಟ್ ವಿಳಂಬ ಸರಪಳಿಯನ್ನು ಬಳಸಿಕೊಂಡು ಇನ್ಪುಟ್ ಡೇಟಾ ಮಾರ್ಗಕ್ಕೆ ವಿಳಂಬವನ್ನು ಸೇರಿಸಿ. ಸಾಮಾನ್ಯವಾಗಿ, ಇನ್ಪುಟ್ ವಿಳಂಬ ಸರಪಳಿಯು 60 ಸ್ಪೀಡ್ ಗ್ರೇಡ್ನಲ್ಲಿ ಪ್ರತಿ ಹಂತಕ್ಕೆ ಸುಮಾರು 1 ps ಆಗಿರುತ್ತದೆ. ಸಮಯವನ್ನು ರವಾನಿಸಲು ಅಂದಾಜು ಇನ್ಪುಟ್ ವಿಳಂಬ ಸರಪಳಿ ಸೆಟ್ಟಿಂಗ್ ಅನ್ನು ಪಡೆಯಲು, ಋಣಾತ್ಮಕ ಹೋಲ್ಡ್ ಸ್ಲಾಕ್ ಅನ್ನು 60 ಪಿಎಸ್ಗಳಿಂದ ಭಾಗಿಸಿ.
ಆದಾಗ್ಯೂ, I/O PLL GPIO ಇನ್ಪುಟ್ ರೆಜಿಸ್ಟರ್ಗಳ ಗಡಿಯಾರಗಳನ್ನು ಚಾಲನೆ ಮಾಡಿದರೆ (ಸರಳ ರಿಜಿಸ್ಟರ್ ಅಥವಾ DDIO ಮೋಡ್), ನೀವು ಪರಿಹಾರ ಮೋಡ್ ಅನ್ನು ಮೂಲ ಸಿಂಕ್ರೊನಸ್ ಮೋಡ್ಗೆ ಹೊಂದಿಸಬಹುದು. ಫಿಟ್ಟರ್ ಉತ್ತಮ ಸೆಟಪ್ಗಾಗಿ I/O PLL ಅನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಲು ಪ್ರಯತ್ನಿಸುತ್ತದೆ ಮತ್ತು ಇನ್ಪುಟ್ I/O ಟೈಮಿಂಗ್ ವಿಶ್ಲೇಷಣೆಗಾಗಿ ಸ್ಲಾಕ್ ಅನ್ನು ಹಿಡಿದಿಟ್ಟುಕೊಳ್ಳುತ್ತದೆ.
GPIO ಔಟ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಸಕ್ರಿಯಗೊಳಿಸುವ ರೆಜಿಸ್ಟರ್ಗಳಿಗಾಗಿ, ನೀವು ಔಟ್ಪುಟ್ ಡೇಟಾ ಮತ್ತು ಗಡಿಯಾರಕ್ಕೆ ವಿಳಂಬವನ್ನು ಸೇರಿಸಬಹುದು ಮತ್ತು ಔಟ್ಪುಟ್ ಅನ್ನು ಬಳಸಿಕೊಂಡು ವಿಳಂಬ ಸರಪಳಿಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಬಹುದು.
- ನೀವು ಸೆಟಪ್ ಸಮಯದ ಉಲ್ಲಂಘನೆಯನ್ನು ಗಮನಿಸಿದರೆ, ನೀವು ಔಟ್ಪುಟ್ ಗಡಿಯಾರ ವಿಳಂಬ ಸರಣಿ ಸೆಟ್ಟಿಂಗ್ ಅನ್ನು ಹೆಚ್ಚಿಸಬಹುದು.
- ಹೋಲ್ಡ್ ಟೈಮ್ ಉಲ್ಲಂಘನೆಯನ್ನು ನೀವು ಗಮನಿಸಿದರೆ, ನೀವು ಔಟ್ಪುಟ್ ಡೇಟಾ ವಿಳಂಬ ಸರಣಿ ಸೆಟ್ಟಿಂಗ್ ಅನ್ನು ಹೆಚ್ಚಿಸಬಹುದು.
GPIO ಇಂಟೆಲ್ FPGA IP ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಕಡಿಮೆ
GPIO IP ಕೋರ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ರಚಿಸಬಹುದುampಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ನಲ್ಲಿ ನಿಮ್ಮ ಐಪಿ ಕಾನ್ಫಿಗರೇಶನ್ಗೆ ಹೊಂದಿಕೆಯಾಗುವ les. ನೀವು ಈ ವಿನ್ಯಾಸವನ್ನು ಬಳಸಬಹುದು ಮಾಜಿampಲೆಸ್ ಐಪಿ ಕೋರ್ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ಗಳಲ್ಲಿ ನಿರೀಕ್ಷಿತ ನಡವಳಿಕೆಯನ್ನು ತ್ವರಿತಗೊಳಿಸಲು ಉಲ್ಲೇಖಗಳಾಗಿರುತ್ತವೆ.
ನೀವು ಮಾಜಿ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಬಹುದುampGPIO IP ಕೋರ್ ಪ್ಯಾರಾಮೀಟರ್ ಸಂಪಾದಕದಿಂದ les. ನೀವು ಬಯಸಿದ ನಿಯತಾಂಕಗಳನ್ನು ಹೊಂದಿಸಿದ ನಂತರ, ಕ್ಲಿಕ್ ಮಾಡಿ ಎಕ್ಸ್ ಅನ್ನು ರಚಿಸಿample ವಿನ್ಯಾಸ. ಐಪಿ ಕೋರ್ ಡಿಸೈನ್ ಎಕ್ಸ್ ಅನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆample ಮೂಲ fileನೀವು ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಡೈರೆಕ್ಟರಿಯಲ್ಲಿ ರು.
ಚಿತ್ರ 16. ಮೂಲ Fileರಚಿತ ವಿನ್ಯಾಸದಲ್ಲಿ ರುampಲೆ ಡೈರೆಕ್ಟರಿ

ಗಮನಿಸಿ: ದಿ .qsys fileವಿನ್ಯಾಸದ ಸಮಯದಲ್ಲಿ ಆಂತರಿಕ ಬಳಕೆಗಾಗಿ ರುampಲೆ ಪೀಳಿಗೆಗೆ ಮಾತ್ರ. ನೀವು ಈ .qsys ಅನ್ನು ಸಂಪಾದಿಸಲು ಸಾಧ್ಯವಿಲ್ಲ files.
GPIO IP ಕೋರ್ ಸಿಂಥಸೈಜಬಲ್ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಡಿಸೈನ್ ಎಕ್ಸ್ample
ಸಂಶ್ಲೇಷಿಸಬಹುದಾದ ವಿನ್ಯಾಸ ಮಾಜಿample ಎಂಬುದು ಸಂಕಲನ-ಸಿದ್ಧ ಪ್ಲಾಟ್ಫಾರ್ಮ್ ಡಿಸೈನರ್ ಸಿಸ್ಟಮ್ ಆಗಿದ್ದು ಅದನ್ನು ನೀವು ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಯೋಜನೆಯಲ್ಲಿ ಸೇರಿಸಬಹುದು.
ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ರಚಿಸುವುದು ಮತ್ತು ಬಳಸುವುದುample
ಸಂಶ್ಲೇಷಿಸಬಹುದಾದ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ಮಾಜಿampಮೂಲದಿಂದ ಲೆ files, ವಿನ್ಯಾಸ ex ನಲ್ಲಿ ಈ ಕೆಳಗಿನ ಆಜ್ಞೆಯನ್ನು ಚಲಾಯಿಸಿampಲೆ ಡೈರೆಕ್ಟರಿ:
quartus_sh -t make_qii_design.tcl
ಬಳಸಲು ನಿಖರವಾದ ಸಾಧನವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು, ಈ ಕೆಳಗಿನ ಆಜ್ಞೆಯನ್ನು ಚಲಾಯಿಸಿ:
quartus_sh -t make_qii_design.tcl [ಸಾಧನ_ಹೆಸರು]
TCL ಸ್ಕ್ರಿಪ್ಟ್ ed_synth.qpf ಯೋಜನೆಯನ್ನು ಒಳಗೊಂಡಿರುವ qii ಡೈರೆಕ್ಟರಿಯನ್ನು ರಚಿಸುತ್ತದೆ file. ನೀವು ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್ವೇರ್ನಲ್ಲಿ ಈ ಯೋಜನೆಯನ್ನು ತೆರೆಯಬಹುದು ಮತ್ತು ಕಂಪೈಲ್ ಮಾಡಬಹುದು.
GPIO IP ಕೋರ್ ಸಿಮ್ಯುಲೇಶನ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample
ಸಿಮ್ಯುಲೇಶನ್ ವಿನ್ಯಾಸ ಉದಾampಸಿಮ್ಯುಲೇಶನ್ ಡ್ರೈವರ್ಗೆ ಸಂಪರ್ಕಗೊಂಡಿರುವ IP ನಿದರ್ಶನವನ್ನು ನಿರ್ಮಿಸಲು le ನಿಮ್ಮ GPIO IP ಕೋರ್ ಪ್ಯಾರಾಮೀಟರ್ ಸೆಟ್ಟಿಂಗ್ಗಳನ್ನು ಬಳಸುತ್ತದೆ. ಚಾಲಕ ಯಾದೃಚ್ಛಿಕ ದಟ್ಟಣೆಯನ್ನು ಸೃಷ್ಟಿಸುತ್ತಾನೆ ಮತ್ತು ಹೊರಗೆ ಹೋಗುವ ಡೇಟಾದ ಕಾನೂನುಬದ್ಧತೆಯನ್ನು ಆಂತರಿಕವಾಗಿ ಪರಿಶೀಲಿಸುತ್ತಾನೆ.
ವಿನ್ಯಾಸವನ್ನು ಬಳಸುವುದು ಮಾಜಿample, ನೀವು ಬಳಸುವ ಸಿಮ್ಯುಲೇಟರ್ ಅನ್ನು ಅವಲಂಬಿಸಿ ನೀವು ಒಂದೇ ಆಜ್ಞೆಯನ್ನು ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಚಲಾಯಿಸಬಹುದು. ನೀವು GPIO IP ಕೋರ್ ಅನ್ನು ಹೇಗೆ ಬಳಸಬಹುದು ಎಂಬುದನ್ನು ಸಿಮ್ಯುಲೇಶನ್ ತೋರಿಸುತ್ತದೆ.
ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ರಚಿಸುವುದು ಮತ್ತು ಬಳಸುವುದುample
ಸಿಮ್ಯುಲೇಶನ್ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ಮಾಜಿampಮೂಲದಿಂದ ಲೆ fileವೆರಿಲಾಗ್ ಸಿಮ್ಯುಲೇಟರ್ಗಾಗಿ s, ವಿನ್ಯಾಸ ಎಕ್ಸ್ನಲ್ಲಿ ಈ ಕೆಳಗಿನ ಆಜ್ಞೆಯನ್ನು ಚಲಾಯಿಸಿampಲೆ ಡೈರೆಕ್ಟರಿ:
quartus_sh -t make_sim_design.tcl
ಸಿಮ್ಯುಲೇಶನ್ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ಮಾಜಿampಮೂಲದಿಂದ ಲೆ fileVHDL ಸಿಮ್ಯುಲೇಟರ್ಗಾಗಿ s, ವಿನ್ಯಾಸದಲ್ಲಿ ಈ ಕೆಳಗಿನ ಆಜ್ಞೆಯನ್ನು ಚಲಾಯಿಸಿampಲೆ ಡೈರೆಕ್ಟರಿ:
quartus_sh -t make_sim_design.tcl VHDL
TCL ಸ್ಕ್ರಿಪ್ಟ್ ಉಪ ಡೈರೆಕ್ಟರಿಗಳನ್ನು ಒಳಗೊಂಡಿರುವ ಸಿಮ್ ಡೈರೆಕ್ಟರಿಯನ್ನು ರಚಿಸುತ್ತದೆ-ಪ್ರತಿ ಬೆಂಬಲಿತ ಸಿಮ್ಯುಲೇಶನ್ ಟೂಲ್ಗೆ ಒಂದು. ಅನುಗುಣವಾದ ಡೈರೆಕ್ಟರಿಗಳಲ್ಲಿ ನೀವು ಪ್ರತಿ ಸಿಮ್ಯುಲೇಶನ್ ಉಪಕರಣಕ್ಕಾಗಿ ಸ್ಕ್ರಿಪ್ಟ್ಗಳನ್ನು ಕಾಣಬಹುದು.
Arria V, Cyclone V, ಮತ್ತು Stratix V ಸಾಧನಗಳಿಗೆ IP ವಲಸೆಯ ಹರಿವು
IP ವಲಸೆಯ ಹರಿವು ನಿಮಗೆ ALTDDIO_IN, ALTDDIO_OUT, ALTDDIO_BIDIR, ಮತ್ತು Arria V, Cyclone V ಮತ್ತು Stratix V ಸಾಧನಗಳ ALTIOBUF IP ಕೋರ್ಗಳನ್ನು Intel Arria 10 ಮತ್ತು Intel Cyclone ಸಾಧನಗಳ GPIO IP ಕೋರ್ಗೆ ಸ್ಥಳಾಂತರಿಸಲು ಅನುಮತಿಸುತ್ತದೆ.
ಈ IP ವಲಸೆಯ ಹರಿವು ALTDDIO_IN, ALTDDIO_OUT, ALTDDIO_BIDIR, ಮತ್ತು ALTIOBUF IP ಕೋರ್ಗಳ ಸೆಟ್ಟಿಂಗ್ಗಳಿಗೆ ಹೊಂದಿಸಲು GPIO IP ಕೋರ್ ಅನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡುತ್ತದೆ, ಇದು ನಿಮಗೆ IP ಕೋರ್ ಅನ್ನು ಮರುಸೃಷ್ಟಿಸಲು ಅನುವು ಮಾಡಿಕೊಡುತ್ತದೆ.
ಗಮನಿಸಿ: ಕೆಲವು IP ಕೋರ್ಗಳು ನಿರ್ದಿಷ್ಟ ವಿಧಾನಗಳಲ್ಲಿ ಮಾತ್ರ IP ವಲಸೆಯ ಹರಿವನ್ನು ಬೆಂಬಲಿಸುತ್ತವೆ. ನಿಮ್ಮ IP ಕೋರ್ ಬೆಂಬಲಿಸದ ಮೋಡ್ನಲ್ಲಿದ್ದರೆ, ನೀವು GPIO IP ಕೋರ್ಗಾಗಿ IP ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಅನ್ನು ರನ್ ಮಾಡಬೇಕಾಗಬಹುದು ಮತ್ತು IP ಕೋರ್ ಅನ್ನು ಹಸ್ತಚಾಲಿತವಾಗಿ ಕಾನ್ಫಿಗರ್ ಮಾಡಬೇಕಾಗುತ್ತದೆ.
ನಿಮ್ಮ ALTDDIO_IN, ALTDDIO_OUT, ALTDDIO_BIDIR ಮತ್ತು ALTIOBUF IP ಕೋರ್ಗಳನ್ನು ಸ್ಥಳಾಂತರಿಸಲಾಗುತ್ತಿದೆ
ನಿಮ್ಮ ALTDDIO_IN, ALTDDIO_OUT, ALTDDIO_BIDIR, ಮತ್ತು ALTIOBUF IP ಕೋರ್ಗಳನ್ನು GPIO Intel FPGA IP IP ಕೋರ್ಗೆ ಸ್ಥಳಾಂತರಿಸಲು, ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:
- IP ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ನಲ್ಲಿ ನಿಮ್ಮ ALTDDIO_IN, ALTDDIO_OUT, ALTDDIO_BIDIR, ಅಥವಾ ALTIOBUF IP ಕೋರ್ ಅನ್ನು ತೆರೆಯಿರಿ.
- ರಲ್ಲಿ ಪ್ರಸ್ತುತ ಸಾಧನದ ಕುಟುಂಬವನ್ನು ಆಯ್ಕೆ ಮಾಡಲಾಗಿದೆ, ಆಯ್ಕೆ ಇಂಟೆಲ್ ಅರಿಯಾ 10 or ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 ಜಿಎಕ್ಸ್.
- ಕ್ಲಿಕ್ ಮಾಡಿ ಮುಗಿಸು GPIO IP ಪ್ಯಾರಾಮೀಟರ್ ಸಂಪಾದಕವನ್ನು ತೆರೆಯಲು.
IP ಪ್ಯಾರಾಮೀಟರ್ ಸಂಪಾದಕವು ALTDDIO_IN, ALTDDIO_OUT, ALTDDIO_BIDIR, ಅಥವಾ ALTIOBUF ಕೋರ್ ಸೆಟ್ಟಿಂಗ್ಗಳಂತೆಯೇ GPIO IP ಕೋರ್ ಸೆಟ್ಟಿಂಗ್ಗಳನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡುತ್ತದೆ. - ಎರಡರ ನಡುವೆ ಯಾವುದೇ ಹೊಂದಾಣಿಕೆಯಾಗದ ಸೆಟ್ಟಿಂಗ್ಗಳಿದ್ದರೆ, ಆಯ್ಕೆಮಾಡಿ ಹೊಸ ಬೆಂಬಲಿತ ಸೆಟ್ಟಿಂಗ್ಗಳು.
- ಕ್ಲಿಕ್ ಮಾಡಿ ಮುಗಿಸು IP ಕೋರ್ ಅನ್ನು ಪುನರುತ್ಪಾದಿಸಲು.
- RTL ನಲ್ಲಿ ನಿಮ್ಮ ALTDDIO_IN, ALTDDIO_OUT, ALTDDIO_BIDIR, ಅಥವಾ ALTIOBUF IP ಕೋರ್ ಅನ್ನು GPIO IP ಕೋರ್ನೊಂದಿಗೆ ಬದಲಾಯಿಸಿ.
ಗಮನಿಸಿ: GPIO IP ಕೋರ್ ಪೋರ್ಟ್ ಹೆಸರುಗಳು ALTDDIO_IN, ALTDDIO_OUT, ALTDDIO_BIDIR, ಅಥವಾ ALTIOBUF IP ಕೋರ್ ಪೋರ್ಟ್ ಹೆಸರುಗಳಿಗೆ ಹೊಂದಿಕೆಯಾಗುವುದಿಲ್ಲ. ಆದ್ದರಿಂದ, ತತ್ಕ್ಷಣದಲ್ಲಿ IP ಕೋರ್ ಹೆಸರನ್ನು ಬದಲಾಯಿಸುವುದು ಸಾಕಾಗುವುದಿಲ್ಲ.
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ಪುಟ 12 ರಲ್ಲಿ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಬಸ್ ಹೆಚ್ಚಿನ ಮತ್ತು ಕಡಿಮೆ ಬಿಟ್ಗಳು
ಮಾರ್ಗಸೂಚಿ: ಸ್ಥಳಾಂತರಗೊಂಡ IP ನಲ್ಲಿ datain_h ಮತ್ತು datain_l ಪೋರ್ಟ್ಗಳನ್ನು ಬದಲಾಯಿಸಿ
ನಿಮ್ಮ GPIO IP ಅನ್ನು ಹಿಂದಿನ ಸಾಧನಗಳಿಂದ GPIO IP ಕೋರ್ಗೆ ನೀವು ಸ್ಥಳಾಂತರಿಸಿದಾಗ, ನೀವು ಆನ್ ಮಾಡಬಹುದು ಪರಂಪರೆಯ ಉನ್ನತ ಮಟ್ಟದ ಪೋರ್ಟ್ ಹೆಸರುಗಳನ್ನು ಬಳಸಿ GPIO IP ಕೋರ್ ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ನಲ್ಲಿನ ಆಯ್ಕೆ. ಆದಾಗ್ಯೂ, GPIO IP ಕೋರ್ನಲ್ಲಿನ ಈ ಪೋರ್ಟ್ಗಳ ವರ್ತನೆಯು ಸ್ಟ್ರಾಟಿಕ್ಸ್ V, Arria V ಮತ್ತು Cyclone V ಸಾಧನಗಳಿಗೆ ಬಳಸುವ IP ಕೋರ್ಗಳಿಗಿಂತ ಭಿನ್ನವಾಗಿದೆ.
GPIO IP ಕೋರ್ ಈ ಗಡಿಯಾರದ ಅಂಚುಗಳಲ್ಲಿ ಔಟ್ಪುಟ್ ರೆಜಿಸ್ಟರ್ಗಳಿಗೆ ಈ ಪೋರ್ಟ್ಗಳನ್ನು ಚಾಲನೆ ಮಾಡುತ್ತದೆ:
- datain_h-ಔಟ್ಕ್ಲಾಕ್ನ ಏರುತ್ತಿರುವ ಅಂಚಿನಲ್ಲಿ
- datain_l-ಔಟ್ಕ್ಲಾಕ್ನ ಬೀಳುವ ಅಂಚಿನಲ್ಲಿ
ನೀವು Stratix V, Arria V, ಮತ್ತು Cyclone V ಸಾಧನಗಳಿಂದ ನಿಮ್ಮ GPIO IP ಅನ್ನು ಸ್ಥಳಾಂತರಿಸಿದರೆ, GPIO IP ಕೋರ್ನಿಂದ ರಚಿಸಲಾದ IP ಅನ್ನು ನೀವು ತತ್ಕ್ಷಣಗೊಳಿಸಿದಾಗ datain_h ಮತ್ತು datain_l ಪೋರ್ಟ್ಗಳನ್ನು ಸ್ವ್ಯಾಪ್ ಮಾಡಿ.
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ಪುಟ 12 ರಲ್ಲಿ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಬಸ್ ಹೆಚ್ಚಿನ ಮತ್ತು ಕಡಿಮೆ ಬಿಟ್ಗಳು
GPIO ಇಂಟೆಲ್ FPGA IP ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ ಆರ್ಕೈವ್ಸ್
IP ಆವೃತ್ತಿಗಳು v19.1 ವರೆಗಿನ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಡಿಸೈನ್ ಸೂಟ್ ಸಾಫ್ಟ್ವೇರ್ ಆವೃತ್ತಿಗಳಂತೆಯೇ ಇರುತ್ತವೆ. ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಡಿಸೈನ್ ಸೂಟ್ ಸಾಫ್ಟ್ವೇರ್ ಆವೃತ್ತಿ 19.2 ಅಥವಾ ನಂತರ, IP ಕೋರ್ಗಳು ಹೊಸ IP ಆವೃತ್ತಿಯ ಯೋಜನೆಯನ್ನು ಹೊಂದಿವೆ.
IP ಕೋರ್ ಆವೃತ್ತಿಯನ್ನು ಪಟ್ಟಿ ಮಾಡದಿದ್ದರೆ, ಹಿಂದಿನ IP ಕೋರ್ ಆವೃತ್ತಿಗೆ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ ಅನ್ವಯಿಸುತ್ತದೆ.
|
IP ಕೋರ್ ಆವೃತ್ತಿ |
ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ |
| 20.0.0 | GPIO Intel FPGA IP ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ: Intel Arria 10 ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳು |
| 19.3.0 | GPIO Intel FPGA IP ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ: Intel Arria 10 ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳು |
| 19.3.0 | GPIO Intel FPGA IP ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ: Intel Arria 10 ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳು |
| 18.1 | GPIO Intel FPGA IP ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ: Intel Arria 10 ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳು |
| 18.0 | GPIO Intel FPGA IP ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ: Intel Arria 10 ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳು |
| 17.1 | ಇಂಟೆಲ್ FPGA GPIO IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ |
| 17.0 | Altera GPIO IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ |
| 16.1 | Altera GPIO IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ |
| 16.0 | Altera GPIO IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ |
| 14.1 | Altera GPIO ಮೆಗಾಫಂಕ್ಷನ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ |
| 13.1 | Altera GPIO ಮೆಗಾಫಂಕ್ಷನ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ |
GPIO ಇಂಟೆಲ್ FPGA IP ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಗಾಗಿ ದಾಖಲೆ ಪರಿಷ್ಕರಣೆ ಇತಿಹಾಸ: Intel Arria 10 ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳು
|
ಡಾಕ್ಯುಮೆಂಟ್ ಆವೃತ್ತಿ |
ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಆವೃತ್ತಿ | IP ಆವೃತ್ತಿ |
ಬದಲಾವಣೆಗಳು |
|
2021.07.15 |
21.2 |
20.0.0 |
ಸರಳೀಕೃತವನ್ನು ತೋರಿಸುವ ರೇಖಾಚಿತ್ರವನ್ನು ನವೀಕರಿಸಲಾಗಿದೆ view ಡೌಟ್[0] ಅನ್ನು ಡೌಟ್[3] ಮತ್ತು ಡೌಟ್[3] ಡೌಟ್[0] ಗೆ ನವೀಕರಿಸಲು ಏಕ-ಅಂತ್ಯದ GPIO ಇನ್ಪುಟ್ ಮಾರ್ಗ. |
|
2021.03.29 |
21.1 |
20.0.0 |
GPIO IP ಆವೃತ್ತಿ ಸಂಖ್ಯೆಯನ್ನು 20.0.0 ಗೆ ನವೀಕರಿಸಲಾಗಿದೆ. |
|
2021.03.12 |
20.4 |
19.3.0 |
GPIO IP ರೈಸಿಂಗ್ ಎಡ್ಜ್ನಲ್ಲಿ datain_h ಮತ್ತು ಫಾಲಿಂಗ್ ಎಡ್ಜ್ನಲ್ಲಿ datain_l ಎಂದು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು IP ವಲಸೆ ಮಾರ್ಗಸೂಚಿಯನ್ನು ನವೀಕರಿಸಲಾಗಿದೆ. |
|
2019.10.01 |
19.3 |
19.3.0 |
ವಿಳಂಬ ಅಂಶಗಳ ಕುರಿತು ವಿಷಯದಲ್ಲಿನ .qsf ನಿಯೋಜನೆ ಕೋಡ್ಗಳಲ್ಲಿ ಮುದ್ರಣ ದೋಷವನ್ನು ಸರಿಪಡಿಸಲಾಗಿದೆ. |
|
2019.03.04 |
18.1 |
18.1 |
ಇನ್ಪುಟ್ ಪಾಥ್, ಮತ್ತು ಔಟ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಕುರಿತು ವಿಷಯಗಳಲ್ಲಿ ಮಾರ್ಗಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ:
|
|
2018.08.28 |
18.0 |
18.0 |
|
| ದಿನಾಂಕ | ಆವೃತ್ತಿ | ಬದಲಾವಣೆಗಳು |
| ನವೆಂಬರ್ 2017 | 2017.11.06 |
|
| ಮೇ 2017 | 2017.05.08 |
|
| ಅಕ್ಟೋಬರ್ 2016 | 2016.10.31 |
|
| ಆಗಸ್ಟ್ 2016 | 2016.08.05 |
|
| ಆಗಸ್ಟ್ 2014 | 2014.08.18 |
|
| ನವೆಂಬರ್ 2013 | 2013.11.29 | ಆರಂಭಿಕ ಬಿಡುಗಡೆ. |
GPIO Intel FPGA IP ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ: Intel Arria 10 ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳು
ದಾಖಲೆಗಳು / ಸಂಪನ್ಮೂಲಗಳು
![]() |
intel GPIO ಇಂಟೆಲ್ FPGA IP [ಪಿಡಿಎಫ್] ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ GPIO ಇಂಟೆಲ್ FPGA IP, GPIO, Intel FPGA IP, FPGA IP |




