FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ಗಳು
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
Intel® Quartus® Prime ವಿನ್ಯಾಸ ಸೂಟ್ಗಾಗಿ ನವೀಕರಿಸಲಾಗಿದೆ: 20.3
ಆನ್ಲೈನ್ ಆವೃತ್ತಿ ಪ್ರತಿಕ್ರಿಯೆ ಕಳುಹಿಸಿ
ಯುಜಿ -01063
ID: 683490 ಆವೃತ್ತಿ: 2020.10.05
ಪರಿವಿಡಿ
ಪರಿವಿಡಿ
1. ಇಂಟೆಲ್ ಎಫ್ಪಿಜಿಎ ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ ಐಪಿ ಕೋರ್ಗಳು……………………………………………………………….. 5
2. LPM_COUNTER (ಕೌಂಟರ್) IP ಕೋರ್ ………………………………………………………………………… 7 2.1. ವೈಶಿಷ್ಟ್ಯಗಳು ……………………………………………………………………………………………… 7 2.2. ವೆರಿಲಾಗ್ ಎಚ್ಡಿಎಲ್ ಮೂಲಮಾದರಿ ……………………………………………………………………………………………………… VHDL ಘಟಕ ಘೋಷಣೆ ……………………………………………………………… 8 2.3. VHDL ಲೈಬ್ರರಿ_ಬಳಕೆಯ ಘೋಷಣೆ ……………………………………………………………… 8 2.4. ಬಂದರುಗಳು ………………………………………………………………………………………………… ..9 2.5. ನಿಯತಾಂಕಗಳು ………………………………………………………………………………………… 9
3. LPM_DIVIDE (ವಿಭಾಜಕ) ಇಂಟೆಲ್ FPGA IP ಕೋರ್ ……………………………………………………………… 12 3.1. ವೈಶಿಷ್ಟ್ಯಗಳು ……………………………………………………………………………………. 12 3.2. ವೆರಿಲಾಗ್ ಎಚ್ಡಿಎಲ್ ಮೂಲಮಾದರಿ ………………………………………………………………………… 12 3.3. VHDL ಘಟಕ ಘೋಷಣೆ …………………………………………………………………… 13 3.4. VHDL ಲೈಬ್ರರಿ_ಬಳಕೆಯ ಘೋಷಣೆ …………………………………………………………………… 13 3.5. ಬಂದರುಗಳು …………………………………………………………………………………………………… 13 3.6. ನಿಯತಾಂಕಗಳು …………………………………………………………………………………………………… 14
4. LPM_MULT (ಮಲ್ಟಿಪ್ಲೈಯರ್) IP ಕೋರ್………………………………………………………………. 16 4.1. ವೈಶಿಷ್ಟ್ಯಗಳು ……………………………………………………………………………………. 16 4.2. ವೆರಿಲಾಗ್ ಎಚ್ಡಿಎಲ್ ಮೂಲಮಾದರಿ ………………………………………………………………………… 17 4.3. VHDL ಘಟಕ ಘೋಷಣೆ …………………………………………………………………… 17 4.4. VHDL ಲೈಬ್ರರಿ_ಬಳಕೆಯ ಘೋಷಣೆ …………………………………………………………………… 17 4.5. ಸಂಕೇತಗಳು ………………………………………………………………………………………………………… 18 4.6. ಸ್ಟ್ರಾಟಿಕ್ಸ್ ವಿ, ಅರ್ರಿಯಾ ವಿ, ಸೈಕ್ಲೋನ್ ವಿ, ಮತ್ತು ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 ಎಲ್ಪಿ ಸಾಧನಗಳಿಗೆ ನಿಯತಾಂಕಗಳು…………… 18 4.6.1. ಜನರಲ್ ಟ್ಯಾಬ್ ……………………………………………………………………………………………… 18 4.6.2. ಸಾಮಾನ್ಯ 2 ಟ್ಯಾಬ್ …………………………………………………………………… 19 4.6.3. ಪೈಪ್ಲೈನಿಂಗ್ ಟ್ಯಾಬ್……………………………………………………………………………… 19 4.7. Intel Stratix 10, Intel Arria 10, ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳಿಗೆ ನಿಯತಾಂಕಗಳು........ 20 4.7.1. ಜನರಲ್ ಟ್ಯಾಬ್ …………………………………………………………………………………… 20 4.7.2. ಸಾಮಾನ್ಯ 2 ಟ್ಯಾಬ್ ………………………………………………………………………… 20 4.7.3. ಪೈಪ್ಲೈನಿಂಗ್ …………………………………………………………………………………………………… 21
5. LPM_ADD_SUB (ಸೇರಿಸುವವರು/ವ್ಯವಕಲನಕಾರರು)……………………………………………………………… 22 5.1. ವೈಶಿಷ್ಟ್ಯಗಳು ……………………………………………………………………………………. 22 5.2. ವೆರಿಲಾಗ್ ಎಚ್ಡಿಎಲ್ ಮೂಲಮಾದರಿ ………………………………………………………………………… 23 5.3. VHDL ಘಟಕ ಘೋಷಣೆ …………………………………………………………………… 23 5.4. VHDL ಲೈಬ್ರರಿ_ಬಳಕೆಯ ಘೋಷಣೆ …………………………………………………………………… 23 5.5. ಬಂದರುಗಳು …………………………………………………………………………………………… 23 5.6. ನಿಯತಾಂಕಗಳು ……………………………………………………………………………………………… 24
6. LPM_COMPARE (comparator)…………………………………………………………………………… 26 6.1. ವೈಶಿಷ್ಟ್ಯಗಳು ……………………………………………………………………………………. 26 6.2. ವೆರಿಲಾಗ್ ಎಚ್ಡಿಎಲ್ ಮೂಲಮಾದರಿ …………………………………………………………………… 27 6.3. VHDL ಘಟಕ ಘೋಷಣೆ …………………………………………………………………… 27 6.4. VHDL ಲೈಬ್ರರಿ_ಬಳಕೆಯ ಘೋಷಣೆ …………………………………………………………………… 27 6.5. ಬಂದರುಗಳು …………………………………………………………………………………………………… 27 6.6. ನಿಯತಾಂಕಗಳು ……………………………………………………………………………………………… 28
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 2
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಪರಿವಿಡಿ
7. ALTECC (ದೋಷ ತಿದ್ದುಪಡಿ ಕೋಡ್: ಎನ್ಕೋಡರ್/ಡಿಕೋಡರ್) IP ಕೋರ್ ………………………………………… 30
7.1. ALTECC ಎನ್ಕೋಡರ್ ವೈಶಿಷ್ಟ್ಯಗಳು……………………………………………………………………………… 31 7.2. ವೆರಿಲಾಗ್ HDL ಪ್ರೊಟೊಟೈಪ್ (ALTECC_ENCODER)…………………………………………. 32 7.3. ವೆರಿಲಾಗ್ HDL ಪ್ರೊಟೊಟೈಪ್ (ALTECC_DECODER)…………………………………………. 32 7.4. VHDL ಘಟಕ ಘೋಷಣೆ (ALTECC_ENCODER)………………………………………… 33 7.5. VHDL ಕಾಂಪೊನೆಂಟ್ ಘೋಷಣೆ (ALTECC_DECODER)………………………………………… 33 7.6. VHDL ಲೈಬ್ರರಿ_ಬಳಕೆಯ ಘೋಷಣೆ …………………………………………………………………… 33 7.7. ಎನ್ಕೋಡರ್ ಪೋರ್ಟ್ಗಳು……………………………………………………………………………… 33 7.8. ಡಿಕೋಡರ್ ಪೋರ್ಟ್ಗಳು ……………………………………………………………………………………………… 34 7.9. ಎನ್ಕೋಡರ್ ಪ್ಯಾರಾಮೀಟರ್ಗಳು……………………………………………………………………………… 34 7.10. ಡಿಕೋಡರ್ ಪ್ಯಾರಾಮೀಟರ್ಗಳು ………………………………………………………………………… 35
8. ಇಂಟೆಲ್ ಎಫ್ಪಿಜಿಎ ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ ಐಪಿ ಕೋರ್ ………………………………………………………………. 36
8.1 ವೈಶಿಷ್ಟ್ಯಗಳು ……………………………………………………………………………………. 37 8.1.1. ಪೂರ್ವ-ಸೇರಿಸುವವರು ………………………………………………………………………………………… 38 8.1.2. ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ ನೋಂದಣಿ …………………………………………………………………… 40 8.1.3. ಪೂರ್ವ-ಲೋಡ್ ಸ್ಥಿರ ………………………………………………………………………… 43 8.1.4. ಡಬಲ್ ಅಕ್ಯುಮ್ಯುಲೇಟರ್ ……………………………………………………………… 43
8.2 ವೆರಿಲಾಗ್ ಎಚ್ಡಿಎಲ್ ಮೂಲಮಾದರಿ ………………………………………………………………………… 44 8.3. VHDL ಕಾಂಪೊನೆಂಟ್ ಘೋಷಣೆ …………………………………………………………………… 44 8.4. VHDL ಲೈಬ್ರರಿ_ಬಳಕೆಯ ಘೋಷಣೆ …………………………………………………………………… 44 8.5. ಸಂಕೇತಗಳು …………………………………………………………………………………………………………………… 44 8.6. ನಿಯತಾಂಕಗಳು ………………………………………………………………………………………………………… 47
8.6.1. ಜನರಲ್ ಟ್ಯಾಬ್…………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………… 47 8.6.2. ಹೆಚ್ಚುವರಿ ಮೋಡ್ಗಳ ಟ್ಯಾಬ್ …………………………………………………………………………. ಗುಣಕಗಳ ಟ್ಯಾಬ್……………………………………………………………………………… 47 8.6.3. ಪ್ರೆಡರ್ ಟ್ಯಾಬ್ …………………………………………………………………………. 49 8.6.4. ಸಂಚಯಕ ಟ್ಯಾಬ್ ………………………………………………………………. 51 8.6.5. ಸಿಸ್ಟೊಲಿಕ್/ಚೈನ್ಔಟ್ ಟ್ಯಾಬ್ ………………………………………………………………. 53 8.6.6. ಪೈಪ್ಲೈನಿಂಗ್ ಟ್ಯಾಬ್ ………………………………………………………………………… 55
9. ALTMEMMULT (ಮೆಮೊರಿ-ಆಧಾರಿತ ಸ್ಥಿರ ಗುಣಾಂಕ ಗುಣಕ) IP ಕೋರ್................................ 57
9.1 ವೈಶಿಷ್ಟ್ಯಗಳು ……………………………………………………………………………………. 57 9.2. ವೆರಿಲೋಗ್ ಎಚ್ಡಿಎಲ್ ಮೂಲಮಾದರಿ ………………………………………………………………………… 58 9.3. VHDL ಘಟಕ ಘೋಷಣೆ ……………………………………………………………… .. 58 9.4. ಬಂದರುಗಳು …………………………………………………………………………………………………… 59 9.5. ನಿಯತಾಂಕಗಳು ……………………………………………………………………………………………… 59
10. ALTMULT_ACCUM (ಗುಣಿ-ಸಂಗ್ರಹ) IP ಕೋರ್ …………………………………………………… 61
10.1 ವೈಶಿಷ್ಟ್ಯಗಳು …………………………………………………………………………………………………… 62 10.2. ವೆರಿಲಾಗ್ ಎಚ್ಡಿಎಲ್ ಮೂಲಮಾದರಿ ……………………………………………………………………………………………… 62 10.3 VHDL ಘಟಕ ಘೋಷಣೆ ……………………………………………………………… 63 10.4. VHDL ಲೈಬ್ರರಿ_ಬಳಕೆಯ ಘೋಷಣೆ ………………………………………………………………… 63 10.5. ಬಂದರುಗಳು ………………………………………………………………………………………… 63 10.6. ನಿಯತಾಂಕಗಳು ………………………………………………………………………… 64
11. ALTMULT_ADD (ಮಲ್ಟಿಪ್ಲೈ-ಆಡ್ಡರ್) IP ಕೋರ್ ………………………………………………………………..69
11.1 ವೈಶಿಷ್ಟ್ಯಗಳು ………………………………………………………………………………………… 71 11.2. ವೆರಿಲಾಗ್ ಎಚ್ಡಿಎಲ್ ಮೂಲಮಾದರಿ ………………………………………………………………………… .72 11.3. VHDL ಘಟಕ ಘೋಷಣೆ ……………………………………………………………… 72 11.4. VHDL ಲೈಬ್ರರಿ_ಬಳಕೆಯ ಘೋಷಣೆ ……………………………………………………………… 72
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 3
ಪರಿವಿಡಿ
11.5 ಬಂದರುಗಳು ………………………………………………………………………………………… 72 11.6. ನಿಯತಾಂಕಗಳು ………………………………………………………………………… 73
12. ALTMULT_COMPLEX (ಕಾಂಪ್ಲೆಕ್ಸ್ ಮಲ್ಟಿಪ್ಲೈಯರ್) IP ಕೋರ್ …………………………………………………… 86 12.1. ಸಂಕೀರ್ಣ ಗುಣಾಕಾರ …………………………………………………………………. 86 12.2. ಅಂಗೀಕೃತ ಪ್ರಾತಿನಿಧ್ಯ ……………………………………………………………… 87 12.3. ಸಾಂಪ್ರದಾಯಿಕ ಪ್ರಾತಿನಿಧ್ಯ ……………………………………………………. 87 12.4. ವೈಶಿಷ್ಟ್ಯಗಳು …………………………………………………………………………………………………… 88 12.5. ವೆರಿಲೋಗ್ ಎಚ್ಡಿಎಲ್ ಮೂಲಮಾದರಿ …………………………………………………………………………………….88 12.6. VHDL ಘಟಕ ಘೋಷಣೆ ……………………………………………………………… 89 12.7. VHDL ಲೈಬ್ರರಿ_ಬಳಕೆಯ ಘೋಷಣೆ …………………………………………………… 89 12.8. ಸಂಕೇತಗಳು ……………………………………………………………………………………. 89 12.9. ನಿಯತಾಂಕಗಳು ………………………………………………………………………… 90
13. ALTSQRT (ಪೂರ್ಣಾಂಕ ಸ್ಕ್ವೇರ್ ರೂಟ್) IP ಕೋರ್ ……………………………………………………………… 92 13.1. ವೈಶಿಷ್ಟ್ಯಗಳು …………………………………………………………………………………………………… 92 13.2. ವೆರಿಲಾಗ್ ಎಚ್ಡಿಎಲ್ ಮೂಲಮಾದರಿ …………………………………………………………………………………… . VHDL ಘಟಕ ಘೋಷಣೆ ……………………………………………………………… 92 13.3. VHDL ಲೈಬ್ರರಿ_ಬಳಕೆಯ ಘೋಷಣೆ ……………………………………………………………… 93 13.4. ಬಂದರುಗಳು ………………………………………………………………………………………… 93 13.5. ನಿಯತಾಂಕಗಳು ………………………………………………………………………… 93
14. PARALLEL_ADD (ಸಮಾನಾಂತರ ಆಡ್ಡರ್) IP ಕೋರ್ ……………………………………………………… .. 95 14.1. ವೈಶಿಷ್ಟ್ಯ ……………………………………………………………………………………. 95 14.2. ವೆರಿಲೋಗ್ ಎಚ್ಡಿಎಲ್ ಮೂಲಮಾದರಿ …………………………………………………………………………… . VHDL ಘಟಕ ಘೋಷಣೆ ……………………………………………………………… 95 14.3. VHDL ಲೈಬ್ರರಿ_ಬಳಕೆಯ ಘೋಷಣೆ ……………………………………………………………… 96 14.4. ಬಂದರುಗಳು ………………………………………………………………………………………… 96 14.5. ನಿಯತಾಂಕಗಳು ………………………………………………………………………… 96
15. ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ಗಳು ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ ಡಾಕ್ಯುಮೆಂಟ್ ಆರ್ಕೈವ್ಗಳು………………………………… 98
16. Intel FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ಗಳ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಗಾಗಿ ಡಾಕ್ಯುಮೆಂಟ್ ಪರಿಷ್ಕರಣೆ ಇತಿಹಾಸ…. 99
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 4
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
683490 | 2020.10.05 ಪ್ರತಿಕ್ರಿಯೆ ಕಳುಹಿಸಿ
1. ಇಂಟೆಲ್ ಎಫ್ಪಿಜಿಎ ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ ಐಪಿ ಕೋರ್ಗಳು
ನಿಮ್ಮ ವಿನ್ಯಾಸದಲ್ಲಿ ಗಣಿತದ ಕಾರ್ಯಾಚರಣೆಗಳನ್ನು ನಿರ್ವಹಿಸಲು ನೀವು Intel® FPGA ಪೂರ್ಣಾಂಕ IP ಕೋರ್ಗಳನ್ನು ಬಳಸಬಹುದು.
ಈ ಕಾರ್ಯಗಳು ನಿಮ್ಮ ಸ್ವಂತ ಕಾರ್ಯಗಳನ್ನು ಕೋಡಿಂಗ್ ಮಾಡುವುದಕ್ಕಿಂತ ಹೆಚ್ಚು ಪರಿಣಾಮಕಾರಿ ಲಾಜಿಕ್ ಸಿಂಥೆಸಿಸ್ ಮತ್ತು ಸಾಧನದ ಅನುಷ್ಠಾನವನ್ನು ನೀಡುತ್ತವೆ. ನಿಮ್ಮ ವಿನ್ಯಾಸದ ಅವಶ್ಯಕತೆಗಳನ್ನು ಸರಿಹೊಂದಿಸಲು ನೀವು IP ಕೋರ್ಗಳನ್ನು ಕಸ್ಟಮೈಸ್ ಮಾಡಬಹುದು.
ಇಂಟೆಲ್ ಪೂರ್ಣಾಂಕದ ಅಂಕಗಣಿತದ IP ಕೋರ್ಗಳನ್ನು ಈ ಕೆಳಗಿನ ಎರಡು ವರ್ಗಗಳಾಗಿ ವಿಂಗಡಿಸಲಾಗಿದೆ: · ಪ್ಯಾರಾಮೀಟರ್ ಮಾಡ್ಯೂಲ್ಗಳ ಲೈಬ್ರರಿ (LPM) IP ಕೋರ್ಗಳು · Intel-ನಿರ್ದಿಷ್ಟ (ALT) IP ಕೋರ್ಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕವು ಪೂರ್ಣಾಂಕದ ಅಂಕಗಣಿತದ IP ಕೋರ್ಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ.
ಕೋಷ್ಟಕ 1.
ಐಪಿ ಕೋರ್ಗಳ ಪಟ್ಟಿ
IP ಕೋರ್ಗಳು
LPM IP ಕೋರ್ಗಳು
LPM_COUNTER
LPM_DIVIDE
LPM_MULT
LPM_ADD_SUB
LPM_COMPARE
ಇಂಟೆಲ್-ನಿರ್ದಿಷ್ಟ (ALT) IP ಕೋರ್ಗಳು ALTECC
ಕಾರ್ಯ ಮುಗಿದಿದೆview ಕೌಂಟರ್ ಡಿವೈಡರ್ ಮಲ್ಟಿಪ್ಲೈಯರ್
ಸಂಯೋಜಕ ಅಥವಾ ವ್ಯವಕಲನ ಹೋಲಿಕೆದಾರ
ECC ಎನ್ಕೋಡರ್/ಡಿಕೋಡರ್
ಬೆಂಬಲಿತ ಸಾಧನ
Arria® II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone® IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP,
ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 GX, MAX® II, MAX V, MAX 10, Stratix® IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
ಸೈಕ್ಲೋನ್ V, ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 LP, ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
ಸೈಕ್ಲೋನ್ V, ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 LP, ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
ಅರ್ರಿಯಾ II GX, Arria II GZ, Arria V, ಸೈಕ್ಲೋನ್ IV E, ಸೈಕ್ಲೋನ್ IV GX, ಸೈಕ್ಲೋನ್ V, ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 LP, MAX 10, MAX
II, MAX V, ಸ್ಟ್ರಾಟಿಕ್ಸ್ IV, ಸ್ಟ್ರಾಟಿಕ್ಸ್ V
ಅರ್ರಿಯಾ II GX, Arria II GZ, Arria V, ಸೈಕ್ಲೋನ್ IV E, ಸೈಕ್ಲೋನ್ IV GX, ಸೈಕ್ಲೋನ್ V, ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 LP, MAX 10, MAX
II, MAX V, ಸ್ಟ್ರಾಟಿಕ್ಸ್ IV, ಸ್ಟ್ರಾಟಿಕ್ಸ್ V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
ಸೈಕ್ಲೋನ್ V, ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 LP, ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 GX, MAX II, MAX V, MAX
10, ಸ್ಟ್ರಾಟಿಕ್ಸ್ IV, ಸ್ಟ್ರಾಟಿಕ್ಸ್ V ಮುಂದುವರೆಯಿತು...
ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.
ISO 9001:2015 ನೋಂದಾಯಿಸಲಾಗಿದೆ
1. ಇಂಟೆಲ್ ಎಫ್ಪಿಜಿಎ ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ ಐಪಿ ಕೋರ್ಗಳು 683490 | 2020.10.05
IP ಕೋರ್ಗಳು Intel FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ ಅಥವಾ ALTERA_MULT_ADD ALTMEMMULT
ALTMULT_ACCUM ALTMULT_ADD ALTMULT_COMPLEX
ALTSQRT
PARALLEL_ADD
ಕಾರ್ಯ ಮುಗಿದಿದೆview ಗುಣಕ-ಸೇರಿಸುವವನು
ಮೆಮೊರಿ ಆಧಾರಿತ ಸ್ಥಿರ ಗುಣಾಂಕ ಗುಣಕ
ಮಲ್ಟಿಪ್ಲೈಯರ್-ಅಕ್ಯುಮ್ಯುಲೇಟರ್ ಮಲ್ಟಿಪ್ಲೈಯರ್-ಆಡ್ಡರ್
ಸಂಕೀರ್ಣ ಗುಣಕ
ಪೂರ್ಣಾಂಕ ಸ್ಕ್ವೇರ್-ರೂಟ್
ಸಮಾನಾಂತರ ಸೇರ್ಪಡೆ
ಬೆಂಬಲಿತ ಸಾಧನ
ಅರ್ರಿಯಾ ವಿ, ಸ್ಟ್ರಾಟಿಕ್ಸ್ ವಿ, ಸೈಕ್ಲೋನ್ ವಿ, ಇಂಟೆಲ್ ಸ್ಟ್ರಾಟಿಕ್ಸ್ 10, ಇಂಟೆಲ್ ಅರಿಯಾ 10, ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್
10 GX
Arria II GX, Arria II GZ, Arria V, Intel Arria 10 (Intel Quartus® Prime Standard Edition), ಸೈಕ್ಲೋನ್ IV E, ಸೈಕ್ಲೋನ್ IV GX, ಸೈಕ್ಲೋನ್ V, ಇಂಟೆಲ್
ಸೈಕ್ಲೋನ್ 10 LP, MAX II, MAX V, MAX 10, ಸ್ಟ್ರಾಟಿಕ್ಸ್ IV, ಸ್ಟ್ರಾಟಿಕ್ಸ್ V
ಅರ್ರಿಯಾ II GX, Arria II GZ, ಸೈಕ್ಲೋನ್ IV E, ಸೈಕ್ಲೋನ್ IV GX, ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 LP, MAX 10, MAX II, MAX V, ಸ್ಟ್ರಾಟಿಕ್ಸ್ IV
Arria II GX, Arria II GZ, ಸೈಕ್ಲೋನ್ IV E, ಸೈಕ್ಲೋನ್ IV GX, Intel ಸೈಕ್ಲೋನ್ 10 LP, MAX 10, MAX II, MAX V, ಸ್ಟ್ರಾಟಿಕ್ಸ್ IV
Arria II GX, Arria II GZ, Intel Arria 10, Arria V, Arria V GZ, ಸೈಕ್ಲೋನ್ IV E, ಸೈಕ್ಲೋನ್ IV GX, ಸೈಕ್ಲೋನ್ V, ಇಂಟೆಲ್
ಸೈಕ್ಲೋನ್ 10 GX, Intel ಸೈಕ್ಲೋನ್ 10 LP, MAX 10, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
ಸೈಕ್ಲೋನ್ V, ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 LP, ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 GX, MAX II, MAX V, MAX
10, ಸ್ಟ್ರಾಟಿಕ್ಸ್ IV, ಸ್ಟ್ರಾಟಿಕ್ಸ್ ವಿ
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
ಸೈಕ್ಲೋನ್ V, ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 LP, Intel ಸೈಕ್ಲೋನ್ 10 GX, MAX II, MAX V, MAX
10, ಸ್ಟ್ರಾಟಿಕ್ಸ್ IV, ಸ್ಟ್ರಾಟಿಕ್ಸ್ ವಿ
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
· Intel FPGAಗಳು ಮತ್ತು ಪ್ರೊಗ್ರಾಮೆಬಲ್ ಸಾಧನಗಳ ಬಿಡುಗಡೆ ಟಿಪ್ಪಣಿಗಳು
· Intel FPGA IP ಕೋರ್ಗಳ ಪರಿಚಯ ಇಂಟೆಲ್ FPGA IP ಕೋರ್ಗಳ ಕುರಿತು ಹೆಚ್ಚಿನ ಮಾಹಿತಿಯನ್ನು ಒದಗಿಸುತ್ತದೆ.
· ಫ್ಲೋಟಿಂಗ್-ಪಾಯಿಂಟ್ ಐಪಿ ಕೋರ್ಗಳ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ ಇಂಟೆಲ್ ಎಫ್ಪಿಜಿಎ ಫ್ಲೋಟಿಂಗ್-ಪಾಯಿಂಟ್ ಐಪಿ ಕೋರ್ಗಳ ಕುರಿತು ಹೆಚ್ಚಿನ ಮಾಹಿತಿಯನ್ನು ಒದಗಿಸುತ್ತದೆ.
· ಇಂಟೆಲ್ FPGA IP ಕೋರ್ಗಳ ಪರಿಚಯವು ಎಲ್ಲಾ ಇಂಟೆಲ್ FPGA IP ಕೋರ್ಗಳ ಬಗ್ಗೆ ಸಾಮಾನ್ಯ ಮಾಹಿತಿಯನ್ನು ಒದಗಿಸುತ್ತದೆ, ಪ್ಯಾರಾಮೀಟರೈಸಿಂಗ್, ಉತ್ಪಾದಿಸುವುದು, ಅಪ್ಗ್ರೇಡ್ ಮಾಡುವುದು ಮತ್ತು IP ಕೋರ್ಗಳನ್ನು ಅನುಕರಿಸುವುದು ಸೇರಿದಂತೆ.
· ಆವೃತ್ತಿ-ಸ್ವತಂತ್ರ IP ಮತ್ತು Qsys ಸಿಮ್ಯುಲೇಶನ್ ಸ್ಕ್ರಿಪ್ಟ್ಗಳನ್ನು ರಚಿಸುವುದು ಸಾಫ್ಟ್ವೇರ್ ಅಥವಾ IP ಆವೃತ್ತಿ ಅಪ್ಗ್ರೇಡ್ಗಳಿಗೆ ಹಸ್ತಚಾಲಿತ ನವೀಕರಣಗಳ ಅಗತ್ಯವಿಲ್ಲದ ಸಿಮ್ಯುಲೇಶನ್ ಸ್ಕ್ರಿಪ್ಟ್ಗಳನ್ನು ರಚಿಸಿ.
· ನಿಮ್ಮ ಪ್ರಾಜೆಕ್ಟ್ ಮತ್ತು IP ಯ ಸಮರ್ಥ ನಿರ್ವಹಣೆ ಮತ್ತು ಪೋರ್ಟಬಿಲಿಟಿಗಾಗಿ ಪ್ರಾಜೆಕ್ಟ್ ಮ್ಯಾನೇಜ್ಮೆಂಟ್ ಅತ್ಯುತ್ತಮ ಅಭ್ಯಾಸಗಳ ಮಾರ್ಗಸೂಚಿಗಳು files.
· ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ಗಳ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ ಡಾಕ್ಯುಮೆಂಟ್ ಆರ್ಕೈವ್ಗಳು ಪುಟ 98 ರಲ್ಲಿ ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ಗಳ ಹಿಂದಿನ ಆವೃತ್ತಿಗಳಿಗೆ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಗಳ ಪಟ್ಟಿಯನ್ನು ಒದಗಿಸುತ್ತದೆ.
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 6
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
683490 | 2020.10.05 ಪ್ರತಿಕ್ರಿಯೆ ಕಳುಹಿಸಿ
2. LPM_COUNTER (ಕೌಂಟರ್) IP ಕೋರ್
ಚಿತ್ರ 1.
LPM_COUNTER IP ಕೋರ್ ಒಂದು ಬೈನರಿ ಕೌಂಟರ್ ಆಗಿದ್ದು ಅದು ಅಪ್ ಕೌಂಟರ್ಗಳು, ಡೌನ್ ಕೌಂಟರ್ಗಳು ಮತ್ತು 256 ಬಿಟ್ಗಳ ಅಗಲದ ಔಟ್ಪುಟ್ಗಳೊಂದಿಗೆ ಅಪ್ ಅಥವಾ ಡೌನ್ ಕೌಂಟರ್ಗಳನ್ನು ರಚಿಸುತ್ತದೆ.
ಕೆಳಗಿನ ಚಿತ್ರವು LPM_COUNTER IP ಕೋರ್ಗಾಗಿ ಪೋರ್ಟ್ಗಳನ್ನು ತೋರಿಸುತ್ತದೆ.
LPM_COUNTER ಪೋರ್ಟ್ಗಳು
LPM_COUNTER
ssclr ಸ್ಲೋಡ್ sset ಡೇಟಾ[]
q[]
ಮೇಲೆ ಕೆಳಗೆ
ಕೌಟ್
aclr aload asset
clk_en cnt_en ಸಿನ್
inst
2.1. ವೈಶಿಷ್ಟ್ಯಗಳು
LPM_COUNTER IP ಕೋರ್ ಈ ಕೆಳಗಿನ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ನೀಡುತ್ತದೆ: · ಅಪ್, ಡೌನ್, ಮತ್ತು ಅಪ್/ಡೌನ್ ಕೌಂಟರ್ಗಳನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ · ಕೆಳಗಿನ ಕೌಂಟರ್ ಪ್ರಕಾರಗಳನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ:
— ಸರಳ ಬೈನರಿ- ಶೂನ್ಯದಿಂದ ಪ್ರಾರಂಭವಾಗುವ ಕೌಂಟರ್ ಹೆಚ್ಚಳ ಅಥವಾ 255 ರಿಂದ ಪ್ರಾರಂಭವಾಗುವ ಇಳಿಕೆ
- ಮಾಡ್ಯುಲಸ್-ಬಳಕೆದಾರರು ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಮಾಡ್ಯುಲಸ್ ಮೌಲ್ಯದಿಂದ ಕೌಂಟರ್ ಹೆಚ್ಚಳ ಅಥವಾ ಇಳಿಕೆ ಮತ್ತು ಪುನರಾವರ್ತನೆಗಳು
· ಐಚ್ಛಿಕ ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್, ಲೋಡ್ ಮತ್ತು ಸೆಟ್ ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಐಚ್ಛಿಕ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ, ಲೋಡ್ ಮತ್ತು ಸೆಟ್ ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಐಚ್ಛಿಕ ಎಣಿಕೆ ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ ಮತ್ತು ಗಡಿಯಾರವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ
ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.
ISO 9001:2015 ನೋಂದಾಯಿಸಲಾಗಿದೆ
2. LPM_COUNTER (ಕೌಂಟರ್) IP ಕೋರ್
683490 | 2020.10.05
2.2 ವೆರಿಲೋಗ್ HDL ಮಾದರಿ
ಕೆಳಗಿನ ವೆರಿಲಾಗ್ HDL ಮೂಲಮಾದರಿಯು ವೆರಿಲಾಗ್ ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.v) lpm.v ರಲ್ಲಿ ಎಡಾಸಿಂಥೆಸಿಸ್ ಡೈರೆಕ್ಟರಿ.
ಮಾಡ್ಯೂಲ್ lpm_counter (q, ಡೇಟಾ, ಗಡಿಯಾರ, ಸಿನ್, ಕೌಟ್, clk_en, cnt_en, ಅಪ್ಡೌನ್, ಆಸ್ತಿ, aclr, aload, sset, sclr, sload, eq ); ಪ್ಯಾರಾಮೀಟರ್ lpm_type = "lpm_counter"; ಪ್ಯಾರಾಮೀಟರ್ lpm_width = 1; ಪ್ಯಾರಾಮೀಟರ್ lpm_modulus = 0; ಪ್ಯಾರಾಮೀಟರ್ lpm_direction = "UNUSED"; ಪ್ಯಾರಾಮೀಟರ್ lpm_avalue = "UNUSED"; ಪ್ಯಾರಾಮೀಟರ್ lpm_svalue = "UNUSED"; ಪ್ಯಾರಾಮೀಟರ್ lpm_pvalue = "UNUSED"; ಪ್ಯಾರಾಮೀಟರ್ lpm_port_updown = “PORT_CONNECTIVITY”; ಪ್ಯಾರಾಮೀಟರ್ lpm_hint = "UNUSED"; ಔಟ್ಪುಟ್ [lpm_width-1:0] q; ಔಟ್ಪುಟ್ ಕೌಟ್; ಔಟ್ಪುಟ್ [15:0] eq; ಇನ್ಪುಟ್ ಸಿನ್; ಇನ್ಪುಟ್ [lpm_width-1:0] ಡೇಟಾ; ಇನ್ಪುಟ್ ಗಡಿಯಾರ, clk_en, cnt_en, ಅಪ್ಡೌನ್; ಇನ್ಪುಟ್ ಆಸ್ತಿ, ಎಸಿಎಲ್ಆರ್, ಅಲೋಡ್; ಇನ್ಪುಟ್ sset, sclr, ಸ್ಲೋಡ್; ಎಂಡ್ ಮಾಡ್ಯೂಲ್
2.3 VHDL ಘಟಕ ಘೋಷಣೆ
VHDL ಘಟಕ ಘೋಷಣೆಯು VHDL ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.vhd) LPM_PACK.vhd ರಲ್ಲಿ ಗ್ರಂಥಾಲಯಗಳುvhdllpm ಡೈರೆಕ್ಟರಿ.
ಘಟಕ LPM_COUNTER ಜೆನೆರಿಕ್ (LPM_WIDTH: ನೈಸರ್ಗಿಕ; LPM_MODULUS: ನೈಸರ್ಗಿಕ IVITY" LPM_PVALUE : string := LPM_TYPE : string := L_COUNTER ಪೋರ್ಟ್ (ಡೇಟಾ : std_logic_vector ನಲ್ಲಿ(LPM_WIDTH-0 ಡೌನ್ ಟು 1):= (OTHERS =>
'0'); ಗಡಿಯಾರ: std_logic ನಲ್ಲಿ; CLK_EN : std_logic ನಲ್ಲಿ := '1'; CNT_EN : std_logic ನಲ್ಲಿ := '1'; UPDOWN : std_logic ನಲ್ಲಿ := '1'; SLOAD : std_logic ನಲ್ಲಿ := '0'; SSET : std_logic ನಲ್ಲಿ := '0'; SCLR : std_logic ನಲ್ಲಿ := '0'; ALOAD: std_logic ನಲ್ಲಿ := '0'; ASET : std_logic ನಲ್ಲಿ := '0'; ACLR : std_logic := '0'; CIN : std_logic ನಲ್ಲಿ := '1'; COUT : ಔಟ್ std_logic := '0'; Q : ಔಟ್ std_logic_vector(LPM_WIDTH-1 ಡೌನ್ ಟು 0); EQ : ಔಟ್ std_logic_vector(15 ಡೌನ್ ಟು 0));
ಅಂತಿಮ ಘಟಕ;
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 8
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
2. LPM_COUNTER (ಕೌಂಟರ್) IP ಕೋರ್ 683490 | 2020.10.05
2.4 VHDL LIBRARY_USE ಘೋಷಣೆ
ನೀವು VHDL ಕಾಂಪೊನೆಂಟ್ ಘೋಷಣೆಯನ್ನು ಬಳಸಿದರೆ VHDL ಲೈಬ್ರರಿ-ಬಳಕೆಯ ಘೋಷಣೆಯ ಅಗತ್ಯವಿಲ್ಲ.
ಲೈಬ್ರರಿ lpm; lpm.lpm_components.all ಅನ್ನು ಬಳಸಿ;
2.5. ಬಂದರುಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕಗಳು LPM_COUNTER IP ಕೋರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತವೆ.
ಕೋಷ್ಟಕ 2.
LPM_COUNTER ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಡೇಟಾ[]
ಸಂ
ಕೌಂಟರ್ಗೆ ಸಮಾನಾಂತರ ಡೇಟಾ ಇನ್ಪುಟ್. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು LPM_WIDTH ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ಗಡಿಯಾರ
ಹೌದು
ಧನಾತ್ಮಕ-ಅಂಚಿನ-ಪ್ರಚೋದಿತ ಗಡಿಯಾರ ಇನ್ಪುಟ್.
clk_en
ಸಂ
ಎಲ್ಲಾ ಸಿಂಕ್ರೊನಸ್ ಚಟುವಟಿಕೆಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಗಡಿಯಾರ ಇನ್ಪುಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 1 ಆಗಿದೆ.
cnt_en
ಸಂ
ಸ್ಲೋಡ್, sset, ಅಥವಾ sclr ಮೇಲೆ ಪರಿಣಾಮ ಬೀರದೆ ಕಡಿಮೆ ಎಂದು ಪ್ರತಿಪಾದಿಸಿದಾಗ ಎಣಿಕೆಯನ್ನು ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಲು ಇನ್ಪುಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 1 ಆಗಿದೆ.
ಮೇಲೆ ಕೆಳಗೆ
ಸಂ
ಎಣಿಕೆಯ ದಿಕ್ಕನ್ನು ನಿಯಂತ್ರಿಸುತ್ತದೆ. ಹೆಚ್ಚಿನ (1) ಎಂದು ಪ್ರತಿಪಾದಿಸಿದಾಗ, ಎಣಿಕೆಯ ದಿಕ್ಕು ಮೇಲಿರುತ್ತದೆ ಮತ್ತು ಕಡಿಮೆ (0) ಎಂದು ಪ್ರತಿಪಾದಿಸಿದಾಗ, ಎಣಿಕೆಯ ದಿಕ್ಕು ಕೆಳಗಿರುತ್ತದೆ. LPM_DIRECTION ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಬಳಸಿದರೆ, ಅಪ್ಡೌನ್ ಪೋರ್ಟ್ ಅನ್ನು ಸಂಪರ್ಕಿಸಲಾಗುವುದಿಲ್ಲ. LPM_DIRECTION ಅನ್ನು ಬಳಸದಿದ್ದರೆ, ಅಪ್ಡೌನ್ ಪೋರ್ಟ್ ಐಚ್ಛಿಕವಾಗಿರುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು ಹೆಚ್ಚಾಗಿರುತ್ತದೆ (1).
ಸಿನ್
ಸಂ
ಕಡಿಮೆ-ಆರ್ಡರ್ ಬಿಟ್ಗೆ ಕ್ಯಾರಿ-ಇನ್ ಮಾಡಿ. ಅಪ್ ಕೌಂಟರ್ಗಳಿಗೆ, ಸಿನ್ ಇನ್ಪುಟ್ನ ವರ್ತನೆಯು
cnt_en ಇನ್ಪುಟ್ನ ವರ್ತನೆಗೆ ಹೋಲುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 1 ಆಗಿದೆ
(ವಿಸಿಸಿ).
aclr
ಸಂ
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್. aset ಮತ್ತು aclr ಎರಡನ್ನೂ ಬಳಸಿದರೆ ಮತ್ತು ಪ್ರತಿಪಾದಿಸಿದರೆ, aclr ಆಸ್ತಿಯನ್ನು ಅತಿಕ್ರಮಿಸುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 0 ಆಗಿದೆ (ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಲಾಗಿದೆ).
ಆಸ್ತಿ
ಸಂ
ಅಸಮಕಾಲಿಕ ಸೆಟ್ ಇನ್ಪುಟ್. q[] ಔಟ್ಪುಟ್ಗಳನ್ನು ಎಲ್ಲಾ 1s, ಅಥವಾ LPM_AVALUE ಪ್ಯಾರಾಮೀಟರ್ನಿಂದ ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಮೌಲ್ಯಕ್ಕೆ ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. aset ಮತ್ತು aclr ಪೋರ್ಟ್ಗಳನ್ನು ಬಳಸಿದರೆ ಮತ್ತು ಪ್ರತಿಪಾದಿಸಿದರೆ, aclr ಪೋರ್ಟ್ನ ಮೌಲ್ಯವು ಆಸ್ತಿ ಪೋರ್ಟ್ನ ಮೌಲ್ಯವನ್ನು ಅತಿಕ್ರಮಿಸುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡಿಫಾಲ್ಟ್ ಮೌಲ್ಯವು 0 ಆಗಿರುತ್ತದೆ, ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಲಾಗಿದೆ.
ಲೋಡ್ ಮಾಡಿ
ಸಂ
ಡೇಟಾ ಇನ್ಪುಟ್ನಲ್ಲಿನ ಮೌಲ್ಯದೊಂದಿಗೆ ಕೌಂಟರ್ ಅನ್ನು ಅಸಮಕಾಲಿಕವಾಗಿ ಲೋಡ್ ಮಾಡುವ ಅಸಮಕಾಲಿಕ ಲೋಡ್ ಇನ್ಪುಟ್. ಅಲೋಡ್ ಪೋರ್ಟ್ ಅನ್ನು ಬಳಸಿದಾಗ, ಡೇಟಾ[] ಪೋರ್ಟ್ ಅನ್ನು ಸಂಪರ್ಕಿಸಬೇಕು. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡಿಫಾಲ್ಟ್ ಮೌಲ್ಯವು 0 ಆಗಿರುತ್ತದೆ, ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಲಾಗಿದೆ.
sclr
ಸಂ
ಮುಂದಿನ ಸಕ್ರಿಯ ಗಡಿಯಾರದ ಅಂಚಿನಲ್ಲಿರುವ ಕೌಂಟರ್ ಅನ್ನು ತೆರವುಗೊಳಿಸುವ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್. sset ಮತ್ತು sclr ಪೋರ್ಟ್ಗಳನ್ನು ಬಳಸಿದರೆ ಮತ್ತು ಪ್ರತಿಪಾದಿಸಿದರೆ, sclr ಪೋರ್ಟ್ನ ಮೌಲ್ಯವು sset ಪೋರ್ಟ್ನ ಮೌಲ್ಯವನ್ನು ಅತಿಕ್ರಮಿಸುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡಿಫಾಲ್ಟ್ ಮೌಲ್ಯವು 0 ಆಗಿರುತ್ತದೆ, ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಲಾಗಿದೆ.
sset
ಸಂ
ಮುಂದಿನ ಸಕ್ರಿಯ ಗಡಿಯಾರದ ಅಂಚಿನಲ್ಲಿ ಕೌಂಟರ್ ಅನ್ನು ಹೊಂದಿಸುವ ಸಿಂಕ್ರೊನಸ್ ಸೆಟ್ ಇನ್ಪುಟ್. q ಔಟ್ಪುಟ್ಗಳ ಮೌಲ್ಯವನ್ನು ಎಲ್ಲಾ 1s, ಅಥವಾ LPM_SVALUE ಪ್ಯಾರಾಮೀಟರ್ನಿಂದ ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಮೌಲ್ಯಕ್ಕೆ ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. sset ಮತ್ತು sclr ಪೋರ್ಟ್ಗಳನ್ನು ಬಳಸಿದರೆ ಮತ್ತು ಪ್ರತಿಪಾದಿಸಿದರೆ,
sclr ಪೋರ್ಟ್ನ ಮೌಲ್ಯವು sset ಪೋರ್ಟ್ನ ಮೌಲ್ಯವನ್ನು ಅತಿಕ್ರಮಿಸುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 0 ಆಗಿದೆ (ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಲಾಗಿದೆ).
ಸ್ಲೋಡ್
ಸಂ
ಸಿಂಕ್ರೊನಸ್ ಲೋಡ್ ಇನ್ಪುಟ್ ಮುಂದಿನ ಸಕ್ರಿಯ ಗಡಿಯಾರದ ಅಂಚಿನಲ್ಲಿರುವ ಡೇಟಾದೊಂದಿಗೆ ಕೌಂಟರ್ ಅನ್ನು ಲೋಡ್ ಮಾಡುತ್ತದೆ[]. ಸ್ಲೋಡ್ ಪೋರ್ಟ್ ಅನ್ನು ಬಳಸಿದಾಗ, ಡೇಟಾ[] ಪೋರ್ಟ್ ಅನ್ನು ಸಂಪರ್ಕಿಸಬೇಕು. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 0 ಆಗಿದೆ (ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಲಾಗಿದೆ).
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 9
2. LPM_COUNTER (ಕೌಂಟರ್) IP ಕೋರ್ 683490 | 2020.10.05
ಕೋಷ್ಟಕ 3.
LPM_COUNTER ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
q[]
ಸಂ
ಕೌಂಟರ್ನಿಂದ ಡೇಟಾ ಔಟ್ಪುಟ್. ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು ಅವಲಂಬಿಸಿರುತ್ತದೆ
LPM_WIDTH ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯ. ಒಂದೋ q[] ಅಥವಾ ಕನಿಷ್ಠ ಒಂದು eq[15..0] ಪೋರ್ಟ್ಗಳು
ಸಂಪರ್ಕಿಸಬೇಕು.
eq[15..0]
ಸಂ
ಕೌಂಟರ್ ಡಿಕೋಡ್ ಔಟ್ಪುಟ್. ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ನಲ್ಲಿ eq[15..0] ಪೋರ್ಟ್ ಅನ್ನು ಪ್ರವೇಶಿಸಲಾಗುವುದಿಲ್ಲ ಏಕೆಂದರೆ ಪ್ಯಾರಾಮೀಟರ್ AHDL ಅನ್ನು ಮಾತ್ರ ಬೆಂಬಲಿಸುತ್ತದೆ.
q[] ಪೋರ್ಟ್ ಅಥವಾ eq[] ಪೋರ್ಟ್ ಅನ್ನು ಸಂಪರ್ಕಿಸಬೇಕು. c eq ಪೋರ್ಟ್ಗಳನ್ನು ಬಳಸಬಹುದು (0 <= c <= 15). ಕೇವಲ 16 ಕಡಿಮೆ ಎಣಿಕೆ ಮೌಲ್ಯಗಳನ್ನು ಡಿಕೋಡ್ ಮಾಡಲಾಗಿದೆ. ಎಣಿಕೆ ಮೌಲ್ಯವು c ಆಗಿರುವಾಗ, eqc ಔಟ್ಪುಟ್ ಅನ್ನು ಹೆಚ್ಚು (1) ಎಂದು ಪ್ರತಿಪಾದಿಸಲಾಗುತ್ತದೆ. ಉದಾಹರಣೆಗೆample, ಎಣಿಕೆ 0 ಆಗಿರುವಾಗ, eq0 = 1, ಎಣಿಕೆ 1 ಆಗಿರುವಾಗ, eq1 = 1, ಮತ್ತು ಎಣಿಕೆ 15 ಆಗಿರುವಾಗ, eq 15 = 1. 16 ಅಥವಾ ಅದಕ್ಕಿಂತ ಹೆಚ್ಚಿನ ಎಣಿಕೆ ಮೌಲ್ಯಗಳಿಗೆ ಡಿಕೋಡ್ ಮಾಡಿದ ಔಟ್ಪುಟ್ಗೆ ಬಾಹ್ಯ ಡಿಕೋಡಿಂಗ್ ಅಗತ್ಯವಿರುತ್ತದೆ. eq[15..0] ಔಟ್ಪುಟ್ಗಳು q[] ಔಟ್ಪುಟ್ಗೆ ಅಸಮಕಾಲಿಕವಾಗಿರುತ್ತವೆ.
ಕೌಟ್
ಸಂ
ಕೌಂಟರ್ನ MSB ಬಿಟ್ನ ಕ್ಯಾರಿ-ಔಟ್ ಪೋರ್ಟ್. ದೊಡ್ಡ ಕೌಂಟರ್ ರಚಿಸಲು ಮತ್ತೊಂದು ಕೌಂಟರ್ಗೆ ಸಂಪರ್ಕಿಸಲು ಇದನ್ನು ಬಳಸಬಹುದು.
2.6. ನಿಯತಾಂಕಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕವು LPM_COUNTER IP ಕೋರ್ಗಾಗಿ ನಿಯತಾಂಕಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ.
ಕೋಷ್ಟಕ 4.
LPM_COUNTER ಪ್ಯಾರಾಮೀಟರ್ಗಳು
ಪ್ಯಾರಾಮೀಟರ್ ಹೆಸರು
ಟೈಪ್ ಮಾಡಿ
LPM_WIDTH
ಪೂರ್ಣಾಂಕ
LPM_DIRECTION
ಸ್ಟ್ರಿಂಗ್
LPM_MODULUS LPM_AVALUE
ಪೂರ್ಣಾಂಕ
ಪೂರ್ಣಾಂಕ/ ಸ್ಟ್ರಿಂಗ್
LPM_SVALUE LPM_HINT
ಪೂರ್ಣಾಂಕ/ ಸ್ಟ್ರಿಂಗ್
ಸ್ಟ್ರಿಂಗ್
LPM_TYPE
ಸ್ಟ್ರಿಂಗ್
ಅಗತ್ಯವಿದೆ ಹೌದು ಇಲ್ಲ ಇಲ್ಲ ಇಲ್ಲ
ಇಲ್ಲ ನಂ
ಸಂ
ವಿವರಣೆ
ಡೇಟಾ[] ಮತ್ತು q[] ಪೋರ್ಟ್ಗಳನ್ನು ಬಳಸಿದರೆ ಅವುಗಳ ಅಗಲಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ಮೌಲ್ಯಗಳು ಮೇಲಕ್ಕೆ, ಕೆಳಕ್ಕೆ ಮತ್ತು ಬಳಕೆಯಾಗಿಲ್ಲ. LPM_DIRECTION ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಬಳಸಿದರೆ, ಅಪ್ಡೌನ್ ಪೋರ್ಟ್ ಅನ್ನು ಸಂಪರ್ಕಿಸಲಾಗುವುದಿಲ್ಲ. ಅಪ್ಡೌನ್ ಪೋರ್ಟ್ ಸಂಪರ್ಕಗೊಂಡಿಲ್ಲದಿದ್ದಾಗ, LPM_DIRECTION ಪ್ಯಾರಾಮೀಟರ್ ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು UP ಆಗಿರುತ್ತದೆ.
ಗರಿಷ್ಠ ಎಣಿಕೆ, ಜೊತೆಗೆ ಒಂದು. ಕೌಂಟರ್ನ ಚಕ್ರದಲ್ಲಿ ಅನನ್ಯ ಸ್ಥಿತಿಗಳ ಸಂಖ್ಯೆ. ಲೋಡ್ ಮೌಲ್ಯವು LPM_MODULUS ಪ್ಯಾರಾಮೀಟರ್ಗಿಂತ ದೊಡ್ಡದಾಗಿದ್ದರೆ, ಕೌಂಟರ್ನ ನಡವಳಿಕೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿಲ್ಲ.
ಸ್ಥಿರ ಮೌಲ್ಯವು ಲೋಡ್ ಆಗುವ ಆಸ್ತಿಯನ್ನು ಅಧಿಕವಾಗಿ ಪ್ರತಿಪಾದಿಸಿದಾಗ. ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಮೌಲ್ಯವು ಇದಕ್ಕಿಂತ ದೊಡ್ಡದಾಗಿದ್ದರೆ ಅಥವಾ ಸಮನಾಗಿದ್ದರೆ , ಕೌಂಟರ್ನ ನಡವಳಿಕೆಯು ವ್ಯಾಖ್ಯಾನಿಸದ (X) ಲಾಜಿಕ್ ಮಟ್ಟವಾಗಿದೆ, ಅಲ್ಲಿ LPM_MODULUS, ಇದ್ದರೆ, ಅಥವಾ 2 ^ LPM_WIDTH. AHDL ವಿನ್ಯಾಸಗಳಿಗಾಗಿ ನೀವು ಈ ಮೌಲ್ಯವನ್ನು ದಶಮಾಂಶ ಸಂಖ್ಯೆಯಾಗಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕೆಂದು ಇಂಟೆಲ್ ಶಿಫಾರಸು ಮಾಡುತ್ತದೆ.
sset ಪೋರ್ಟ್ ಹೆಚ್ಚಿನದಾಗಿ ಪ್ರತಿಪಾದಿಸಿದಾಗ ಗಡಿಯಾರದ ಪೋರ್ಟ್ನ ಏರುತ್ತಿರುವ ಅಂಚಿನಲ್ಲಿ ಲೋಡ್ ಆಗುವ ಸ್ಥಿರ ಮೌಲ್ಯ. AHDL ವಿನ್ಯಾಸಗಳಿಗಾಗಿ ನೀವು ಈ ಮೌಲ್ಯವನ್ನು ದಶಮಾಂಶ ಸಂಖ್ಯೆಯಾಗಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕೆಂದು ಇಂಟೆಲ್ ಶಿಫಾರಸು ಮಾಡುತ್ತದೆ.
ನೀವು VHDL ವಿನ್ಯಾಸದಲ್ಲಿ ಪ್ಯಾರಾಮೀಟರೈಸ್ಡ್ ಮಾಡ್ಯೂಲ್ಗಳ (LPM) ಕಾರ್ಯದ ಲೈಬ್ರರಿಯನ್ನು ತತ್ಕ್ಷಣಗೊಳಿಸಿದಾಗ File (.vhd), Intel-ನಿರ್ದಿಷ್ಟ ನಿಯತಾಂಕವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ನೀವು LPM_HINT ನಿಯತಾಂಕವನ್ನು ಬಳಸಬೇಕು. ಉದಾಹರಣೆಗೆample: LPM_HINT = “CHAIN_SIZE = 8, ONE_INPUT_IS_CONSTANT = ಹೌದು”
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು ಬಳಕೆಯಾಗಿಲ್ಲ.
VHDL ವಿನ್ಯಾಸದಲ್ಲಿ ಪ್ಯಾರಾಮೀಟರ್ ಮಾಡ್ಯೂಲ್ಗಳ (LPM) ಘಟಕದ ಹೆಸರಿನ ಲೈಬ್ರರಿಯನ್ನು ಗುರುತಿಸುತ್ತದೆ files.
ಮುಂದುವರೆಯಿತು…
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 10
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
2. LPM_COUNTER (ಕೌಂಟರ್) IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್ ಹೆಸರು INTENDED_DEVICE_FAMILY CARRY_CNT_EN
LABWIDE_SCLR
LPM_PORT_UPDOWN
ಸ್ಟ್ರಿಂಗ್ ಸ್ಟ್ರಿಂಗ್ ಅನ್ನು ಟೈಪ್ ಮಾಡಿ
ಸ್ಟ್ರಿಂಗ್
ಸ್ಟ್ರಿಂಗ್
ಅಗತ್ಯ ಸಂಖ್ಯೆ ಇಲ್ಲ
ಸಂ
ಸಂ
ವಿವರಣೆ
ಈ ನಿಯತಾಂಕವನ್ನು ಮಾಡೆಲಿಂಗ್ ಮತ್ತು ವರ್ತನೆಯ ಸಿಮ್ಯುಲೇಶನ್ ಉದ್ದೇಶಗಳಿಗಾಗಿ ಬಳಸಲಾಗುತ್ತದೆ. ಈ ನಿಯತಾಂಕವನ್ನು ಮಾಡೆಲಿಂಗ್ ಮತ್ತು ವರ್ತನೆಯ ಸಿಮ್ಯುಲೇಶನ್ ಉದ್ದೇಶಗಳಿಗಾಗಿ ಬಳಸಲಾಗುತ್ತದೆ. ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಈ ನಿಯತಾಂಕದ ಮೌಲ್ಯವನ್ನು ಲೆಕ್ಕಾಚಾರ ಮಾಡುತ್ತದೆ.
ಇಂಟೆಲ್-ನಿರ್ದಿಷ್ಟ ನಿಯತಾಂಕ. VHDL ವಿನ್ಯಾಸದಲ್ಲಿ CARRY_CNT_EN ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ನೀವು LPM_HINT ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಬಳಸಬೇಕು fileರು. ಮೌಲ್ಯಗಳು ಸ್ಮಾರ್ಟ್, ಆನ್, ಆಫ್ ಮತ್ತು ಬಳಕೆಯಾಗಿಲ್ಲ. ಕ್ಯಾರಿ ಚೈನ್ ಮೂಲಕ cnt_en ಸಿಗ್ನಲ್ ಅನ್ನು ಪ್ರಸಾರ ಮಾಡಲು LPM_COUNTER ಕಾರ್ಯವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ. ಕೆಲವು ಸಂದರ್ಭಗಳಲ್ಲಿ, CARRY_CNT_EN ಪ್ಯಾರಾಮೀಟರ್ ಸೆಟ್ಟಿಂಗ್ ವೇಗದ ಮೇಲೆ ಸ್ವಲ್ಪ ಪರಿಣಾಮ ಬೀರಬಹುದು, ಆದ್ದರಿಂದ ನೀವು ಅದನ್ನು ಆಫ್ ಮಾಡಲು ಬಯಸಬಹುದು. ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು SMART ಆಗಿದೆ, ಇದು ಗಾತ್ರ ಮತ್ತು ವೇಗದ ನಡುವೆ ಉತ್ತಮ ವ್ಯಾಪಾರವನ್ನು ಒದಗಿಸುತ್ತದೆ.
ಇಂಟೆಲ್-ನಿರ್ದಿಷ್ಟ ನಿಯತಾಂಕ. VHDL ವಿನ್ಯಾಸದಲ್ಲಿ LABWIDE_SCLR ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ನೀವು LPM_HINT ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಬಳಸಬೇಕು fileರು. ಮೌಲ್ಯಗಳು ಆನ್ ಆಗಿವೆ, ಆಫ್ ಆಗಿವೆ ಅಥವಾ ಬಳಕೆಯಾಗಿಲ್ಲ. ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು ಆನ್ ಆಗಿದೆ. ಬಳಕೆಯಲ್ಲಿಲ್ಲದ ಸಾಧನ ಕುಟುಂಬಗಳಲ್ಲಿ ಕಂಡುಬರುವ LABwide sclr ವೈಶಿಷ್ಟ್ಯದ ಬಳಕೆಯನ್ನು ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಲು ನಿಮಗೆ ಅನುಮತಿಸುತ್ತದೆ. ಈ ಆಯ್ಕೆಯನ್ನು ಆಫ್ ಮಾಡುವುದರಿಂದ ಭಾಗಶಃ ತುಂಬಿದ LAB ಗಳನ್ನು ಸಂಪೂರ್ಣವಾಗಿ ಬಳಸುವ ಸಾಧ್ಯತೆಯನ್ನು ಹೆಚ್ಚಿಸುತ್ತದೆ ಮತ್ತು SCLR ಸಂಪೂರ್ಣ LAB ಗೆ ಅನ್ವಯಿಸದಿದ್ದಾಗ ಹೆಚ್ಚಿನ ಲಾಜಿಕ್ ಸಾಂದ್ರತೆಯನ್ನು ಅನುಮತಿಸಬಹುದು. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಹಿಂದುಳಿದ ಹೊಂದಾಣಿಕೆಗಾಗಿ ಲಭ್ಯವಿದೆ, ಮತ್ತು ಈ ನಿಯತಾಂಕವನ್ನು ಬಳಸದಂತೆ ಇಂಟೆಲ್ ನಿಮಗೆ ಶಿಫಾರಸು ಮಾಡುತ್ತದೆ.
ಅಪ್ಡೌನ್ ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಬಳಕೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು PORT_CONNECTIVITY ಆಗಿದೆ. ಪೋರ್ಟ್ ಮೌಲ್ಯವನ್ನು PORT_USED ಗೆ ಹೊಂದಿಸಿದಾಗ, ಪೋರ್ಟ್ ಅನ್ನು ಬಳಸಿದಂತೆ ಪರಿಗಣಿಸಲಾಗುತ್ತದೆ. ಪೋರ್ಟ್ ಮೌಲ್ಯವನ್ನು PORT_UNUSED ಗೆ ಹೊಂದಿಸಿದಾಗ, ಪೋರ್ಟ್ ಅನ್ನು ಬಳಕೆಯಾಗಿಲ್ಲ ಎಂದು ಪರಿಗಣಿಸಲಾಗುತ್ತದೆ. ಪೋರ್ಟ್ ಮೌಲ್ಯವನ್ನು PORT_CONNECTIVITY ಗೆ ಹೊಂದಿಸಿದಾಗ, ಪೋರ್ಟ್ ಸಂಪರ್ಕವನ್ನು ಪರಿಶೀಲಿಸುವ ಮೂಲಕ ಪೋರ್ಟ್ ಬಳಕೆಯನ್ನು ನಿರ್ಧರಿಸಲಾಗುತ್ತದೆ.
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 11
683490 | 2020.10.05 ಪ್ರತಿಕ್ರಿಯೆ ಕಳುಹಿಸಿ
3. LPM_DIVIDE (ವಿಭಾಜಕ) Intel FPGA IP ಕೋರ್
ಚಿತ್ರ 2.
LPM_DIVIDE Intel FPGA IP ಕೋರ್ ಒಂದು ಅಂಶ ಮತ್ತು ಶೇಷವನ್ನು ಉತ್ಪಾದಿಸಲು ನ್ಯೂಮರೇಟರ್ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು ಛೇದದ ಇನ್ಪುಟ್ ಮೌಲ್ಯದಿಂದ ಭಾಗಿಸಲು ವಿಭಾಜಕವನ್ನು ಅಳವಡಿಸುತ್ತದೆ.
ಕೆಳಗಿನ ಚಿತ್ರವು LPM_DIVIDE IP ಕೋರ್ಗಾಗಿ ಪೋರ್ಟ್ಗಳನ್ನು ತೋರಿಸುತ್ತದೆ.
LPM_DIVIDE ಪೋರ್ಟ್ಗಳು
LPM_DIVIDE
ಸಂಖ್ಯೆ[] ಡೆನೊಮ್[] ಗಡಿಯಾರ
ಅಂಶ[] ಉಳಿದಿದೆ[]
ಕ್ಲಕೆನ್ ಎಸಿಎಲ್ಆರ್
inst
3.1. ವೈಶಿಷ್ಟ್ಯಗಳು
LPM_DIVIDE IP ಕೋರ್ ಈ ಕೆಳಗಿನ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ನೀಡುತ್ತದೆ: · ಛೇದದ ಇನ್ಪುಟ್ನಿಂದ ನ್ಯೂಮರೇಟರ್ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು ವಿಭಜಿಸುವ ವಿಭಾಜಕವನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ
ಒಂದು ಅಂಶ ಮತ್ತು ಶೇಷವನ್ನು ಉತ್ಪಾದಿಸಲು ಮೌಲ್ಯ. · 1 ಬಿಟ್ಗಳ ಡೇಟಾ ಅಗಲವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ. · ಎರಡೂ ಅಂಶಗಳಿಗೆ ಸಹಿ ಮಾಡಿದ ಮತ್ತು ಸಹಿ ಮಾಡದ ಡೇಟಾ ಪ್ರಾತಿನಿಧ್ಯದ ಸ್ವರೂಪವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ
ಮತ್ತು ಛೇದದ ಮೌಲ್ಯಗಳು. · ಪ್ರದೇಶ ಅಥವಾ ವೇಗ ಆಪ್ಟಿಮೈಸೇಶನ್ ಅನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ. · ಧನಾತ್ಮಕ ಉಳಿದ ಔಟ್ಪುಟ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುವ ಆಯ್ಕೆಯನ್ನು ಒದಗಿಸುತ್ತದೆ. · ಪೈಪ್ಲೈನ್ ಕಾನ್ಫಿಗರ್ ಮಾಡಬಹುದಾದ ಔಟ್ಪುಟ್ ಲೇಟೆನ್ಸಿಯನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ. · ಐಚ್ಛಿಕ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮತ್ತು ಗಡಿಯಾರ ಸಕ್ರಿಯಗೊಳಿಸುವ ಪೋರ್ಟ್ಗಳನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ.
3.2 ವೆರಿಲೋಗ್ HDL ಮಾದರಿ
ಕೆಳಗಿನ ವೆರಿಲಾಗ್ HDL ಮೂಲಮಾದರಿಯು ವೆರಿಲಾಗ್ ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.v) lpm.v ರಲ್ಲಿ ಎಡಾಸಿಂಥೆಸಿಸ್ ಡೈರೆಕ್ಟರಿ.
ಮಾಡ್ಯೂಲ್ lpm_divide ( ಅಂಶ, ಉಳಿದು, ಸಂಖ್ಯೆ, ಡೆನೊಮ್, ಗಡಿಯಾರ, clken, aclr); ಪ್ಯಾರಾಮೀಟರ್ lpm_type = "lpm_divide"; ಪ್ಯಾರಾಮೀಟರ್ lpm_widthn = 1; ಪ್ಯಾರಾಮೀಟರ್ lpm_widthd = 1; ಪ್ಯಾರಾಮೀಟರ್ lpm_nrepresentation = "UNSIGNED"; ಪ್ಯಾರಾಮೀಟರ್ lpm_drepresentation = "UNSIGNED"; ಪ್ಯಾರಾಮೀಟರ್ lpm_remainderpositive = "TRUE"; ಪ್ಯಾರಾಮೀಟರ್ lpm_pipeline = 0;
ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.
ISO 9001:2015 ನೋಂದಾಯಿಸಲಾಗಿದೆ
3. LPM_DIVIDE (ವಿಭಾಜಕ) Intel FPGA IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್ lpm_hint = "UNUSED"; ಇನ್ಪುಟ್ ಗಡಿಯಾರ; ಇನ್ಪುಟ್ ಕ್ಲಕೆನ್; ಇನ್ಪುಟ್ ಎಸಿಎಲ್ಆರ್; ಇನ್ಪುಟ್ [lpm_widthn-1:0] ಸಂಖ್ಯೆ; ಇನ್ಪುಟ್ [lpm_widthd-1:0] denom; ಔಟ್ಪುಟ್ [lpm_widthn-1:0] ಅಂಶ; ಔಟ್ಪುಟ್ [lpm_widthd-1:0] ಉಳಿಯುತ್ತದೆ; ಎಂಡ್ ಮಾಡ್ಯೂಲ್
3.3 VHDL ಘಟಕ ಘೋಷಣೆ
VHDL ಘಟಕ ಘೋಷಣೆಯು VHDL ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.vhd) LPM_PACK.vhd ರಲ್ಲಿ ಗ್ರಂಥಾಲಯಗಳುvhdllpm ಡೈರೆಕ್ಟರಿ.
ಘಟಕ LPM_DIVIDE ಜೆನೆರಿಕ್ (LPM_WIDTHN : ನೈಸರ್ಗಿಕ; LPM_WIDTHD : ನೈಸರ್ಗಿಕ;
LPM_NREPRESENTATION : ಸ್ಟ್ರಿಂಗ್ := “ಅನ್ಸೈನ್ಡ್”; LPM_DREPRESENTATION : ಸ್ಟ್ರಿಂಗ್ := “ಅನ್ಸೈನ್ಡ್”; LPM_PIPELINE : ನೈಸರ್ಗಿಕ := 0; LPM_TYPE : ಸ್ಟ್ರಿಂಗ್ := L_DIVIDE; LPM_HINT : ಸ್ಟ್ರಿಂಗ್ := "ಬಳಕೆಯಾಗದ"); ಪೋರ್ಟ್ (NUMER : std_logic_vector (LPM_WIDTHN-1 ಡೌನ್ ಟು 0); DENOM : std_logic_vector (LPM_WIDTHD-1 ಡೌನ್ ಟು 0); ACLR: std_logic ನಲ್ಲಿ := '0'; CLOCK : std_logic ನಲ್ಲಿ := 'KD_logic; := '0'; ಅಂತಿಮ ಘಟಕ;
3.4 VHDL LIBRARY_USE ಘೋಷಣೆ
ನೀವು VHDL ಕಾಂಪೊನೆಂಟ್ ಘೋಷಣೆಯನ್ನು ಬಳಸಿದರೆ VHDL ಲೈಬ್ರರಿ-ಬಳಕೆಯ ಘೋಷಣೆಯ ಅಗತ್ಯವಿಲ್ಲ.
ಲೈಬ್ರರಿ lpm; lpm.lpm_components.all ಅನ್ನು ಬಳಸಿ;
3.5. ಬಂದರುಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕಗಳು LPM_DIVIDE IP ಕೋರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತವೆ.
ಕೋಷ್ಟಕ 5.
LPM_DIVIDE ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ಸಂಖ್ಯೆ[]
ಹೌದು
ಪಂಗಡ[]
ಹೌದು
ವಿವರಣೆ
ನ್ಯೂಮರೇಟರ್ ಡೇಟಾ ಇನ್ಪುಟ್. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು LPM_WIDTHN ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ಡಿನೋಮಿನೇಟರ್ ಡೇಟಾ ಇನ್ಪುಟ್. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು LPM_WIDTHD ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ಮುಂದುವರೆಯಿತು…
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 13
3. LPM_DIVIDE (ವಿಭಾಜಕ) Intel FPGA IP ಕೋರ್ 683490 | 2020.10.05
ಪೋರ್ಟ್ ಹೆಸರು ಗಡಿಯಾರ ಕ್ಲಕೆನ್
aclr
ಅಗತ್ಯ ಸಂಖ್ಯೆ ಇಲ್ಲ
ಸಂ
ವಿವರಣೆ
ಪೈಪ್ಲೈನ್ ಬಳಕೆಗಾಗಿ ಗಡಿಯಾರ ಇನ್ಪುಟ್. 0 (ಡೀಫಾಲ್ಟ್) ಹೊರತುಪಡಿಸಿ LPM_PIPELINE ಮೌಲ್ಯಗಳಿಗೆ, ಗಡಿಯಾರ ಪೋರ್ಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಬೇಕು.
ಗಡಿಯಾರ ಪೈಪ್ಲೈನ್ ಬಳಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ. ಕ್ಲಕೆನ್ ಪೋರ್ಟ್ ಅನ್ನು ಹೆಚ್ಚಿನದಾಗಿ ಪ್ರತಿಪಾದಿಸಿದಾಗ, ವಿಭಜನೆಯ ಕಾರ್ಯಾಚರಣೆಯು ನಡೆಯುತ್ತದೆ. ಸಿಗ್ನಲ್ ಕಡಿಮೆಯಾದಾಗ, ಯಾವುದೇ ಕಾರ್ಯಾಚರಣೆಯು ಸಂಭವಿಸುವುದಿಲ್ಲ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 1 ಆಗಿದೆ.
ಪೈಪ್ಲೈನ್ ಅನ್ನು ಎಲ್ಲಾ '0'ಗಳಿಗೆ ಅಸಮಕಾಲಿಕವಾಗಿ ಗಡಿಯಾರದ ಇನ್ಪುಟ್ಗೆ ಮರುಹೊಂದಿಸಲು ಯಾವುದೇ ಸಮಯದಲ್ಲಿ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಪೋರ್ಟ್ ಅನ್ನು ಬಳಸಲಾಗುತ್ತದೆ.
ಕೋಷ್ಟಕ 6.
LPM_DIVIDE ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಅಂಶ[]
ಹೌದು
ಡೇಟಾ ಔಟ್ಪುಟ್. ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು LPM_WIDTHN ಅನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ
ನಿಯತಾಂಕ ಮೌಲ್ಯ.
ಉಳಿಯಿರಿ[]
ಹೌದು
ಡೇಟಾ ಔಟ್ಪುಟ್. ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು LPM_WIDTHD ಅನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ
ನಿಯತಾಂಕ ಮೌಲ್ಯ.
3.6. ನಿಯತಾಂಕಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕವು LPM_DIVIDE Intel FPGA IP ಕೋರ್ಗಾಗಿ ನಿಯತಾಂಕಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ.
ಪ್ಯಾರಾಮೀಟರ್ ಹೆಸರು
ಟೈಪ್ ಮಾಡಿ
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
LPM_WIDTHN
ಪೂರ್ಣಾಂಕ
ಹೌದು
ಸಂಖ್ಯೆಯ ಅಗಲಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ[] ಮತ್ತು
ಅಂಶ[] ಬಂದರುಗಳು. ಮೌಲ್ಯಗಳು 1 ರಿಂದ 64.
LPM_WIDTHD
ಪೂರ್ಣಾಂಕ
ಹೌದು
ಡೆನೊಮ್ನ ಅಗಲಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ[] ಮತ್ತು
[] ಬಂದರುಗಳಾಗಿ ಉಳಿಯುತ್ತವೆ. ಮೌಲ್ಯಗಳು 1 ರಿಂದ 64.
LPM_NREPRESENTATION LPM_DREPRESENTATION
ಸ್ಟ್ರಿಂಗ್ ಸ್ಟ್ರಿಂಗ್
ಸಂ
ನ್ಯೂಮರೇಟರ್ ಇನ್ಪುಟ್ನ ಚಿಹ್ನೆ ಪ್ರಾತಿನಿಧ್ಯ.
ಮೌಲ್ಯಗಳನ್ನು ಸಹಿ ಮಾಡಲಾಗಿದೆ ಮತ್ತು ಸಹಿ ಮಾಡಲಾಗಿಲ್ಲ. ಯಾವಾಗ ಈ
ನಿಯತಾಂಕವನ್ನು ಸಹಿ, ವಿಭಾಜಕಕ್ಕೆ ಹೊಂದಿಸಲಾಗಿದೆ
ಸಂಖ್ಯಾ[] ಇನ್ಪುಟ್ ಅನ್ನು ಸಹಿ ಮಾಡಿದ ಎರಡರಂತೆ ಅರ್ಥೈಸುತ್ತದೆ
ಪೂರಕ.
ಸಂ
ಛೇದದ ಇನ್ಪುಟ್ನ ಚಿಹ್ನೆ ಪ್ರಾತಿನಿಧ್ಯ.
ಮೌಲ್ಯಗಳನ್ನು ಸಹಿ ಮಾಡಲಾಗಿದೆ ಮತ್ತು ಸಹಿ ಮಾಡಲಾಗಿಲ್ಲ. ಯಾವಾಗ ಈ
ನಿಯತಾಂಕವನ್ನು ಸಹಿ, ವಿಭಾಜಕಕ್ಕೆ ಹೊಂದಿಸಲಾಗಿದೆ
ಡೆನೊಮ್[] ಇನ್ಪುಟ್ ಅನ್ನು ಸಹಿ ಮಾಡಿದ ಎರಡು ಎಂದು ಅರ್ಥೈಸುತ್ತದೆ
ಪೂರಕ.
LPM_TYPE
ಸ್ಟ್ರಿಂಗ್
ಸಂ
ಪ್ಯಾರಾಮೀಟರ್ ಮಾಡಲಾದ ಗ್ರಂಥಾಲಯವನ್ನು ಗುರುತಿಸುತ್ತದೆ
VHDL ವಿನ್ಯಾಸದಲ್ಲಿ ಮಾಡ್ಯೂಲ್ಗಳು (LPM) ಘಟಕದ ಹೆಸರು
files (.vhd).
LPM_HINT
ಸ್ಟ್ರಿಂಗ್
ಸಂ
ನೀವು ಲೈಬ್ರರಿಯನ್ನು ಸ್ಥಾಪಿಸಿದಾಗ
ಪ್ಯಾರಾಮೀಟರ್ ಮಾಡ್ಯೂಲ್ಗಳು (LPM) ಕಾರ್ಯದಲ್ಲಿ a
VHDL ವಿನ್ಯಾಸ File (.vhd), ನೀವು ಬಳಸಬೇಕು
ಇಂಟೆಲ್ ಅನ್ನು ಸೂಚಿಸಲು LPM_HINT ನಿಯತಾಂಕ
ನಿರ್ದಿಷ್ಟ ನಿಯತಾಂಕ. ಉದಾಹರಣೆಗೆample: LPM_HINT
= “CHAIN_SIZE = 8,
ONE_INPUT_IS_CONSTANT = ಹೌದು” ದಿ
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು ಬಳಕೆಯಾಗಿಲ್ಲ.
LPM_REMAINDERPOSITIVE
ಸ್ಟ್ರಿಂಗ್
ಸಂ
ಇಂಟೆಲ್-ನಿರ್ದಿಷ್ಟ ನಿಯತಾಂಕ. ನೀವು ಬಳಸಬೇಕು
ನಿರ್ದಿಷ್ಟಪಡಿಸಲು LPM_HINT ಪ್ಯಾರಾಮೀಟರ್
LPM_REMAINDERPOSITIVE ಪ್ಯಾರಾಮೀಟರ್ ನಲ್ಲಿ
VHDL ವಿನ್ಯಾಸ fileರು. ಮೌಲ್ಯಗಳು ನಿಜ ಅಥವಾ ತಪ್ಪು.
ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು TRUE ಗೆ ಹೊಂದಿಸಿದರೆ, ನಂತರ ದಿ
ಉಳಿದಿರುವ[] ಪೋರ್ಟ್ನ ಮೌಲ್ಯವು ಹೆಚ್ಚಾಗಿರಬೇಕು
ಮುಂದುವರೆಯಿತು…
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 14
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
3. LPM_DIVIDE (ವಿಭಾಜಕ) Intel FPGA IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್ ಹೆಸರು
ಟೈಪ್ ಮಾಡಿ
MAXIMIZE_SPEED
ಪೂರ್ಣಾಂಕ
LPM_PIPELINE
ಪೂರ್ಣಾಂಕ
INTENDED_DEVICE_FAMILY SKIP_BITS
ಸ್ಟ್ರಿಂಗ್ ಪೂರ್ಣಾಂಕ
ಅಗತ್ಯವಿರುವ ಸಂ
ಇಲ್ಲ ಇಲ್ಲ ಇಲ್ಲ
ವಿವರಣೆ
ಶೂನ್ಯಕ್ಕಿಂತ ಅಥವಾ ಸಮಾನವಾಗಿರುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು TRUE ಗೆ ಹೊಂದಿಸಿದರೆ, ಉಳಿದಿರುವ[] ಪೋರ್ಟ್ನ ಮೌಲ್ಯವು ಶೂನ್ಯವಾಗಿರುತ್ತದೆ, ಅಥವಾ ಮೌಲ್ಯವು ಸಂಖ್ಯಾ ಪೋರ್ಟ್ನ ಮೌಲ್ಯದಂತೆ ಧನಾತ್ಮಕ ಅಥವಾ ಋಣಾತ್ಮಕ ಒಂದೇ ಚಿಹ್ನೆಯಾಗಿರುತ್ತದೆ. ಪ್ರದೇಶವನ್ನು ಕಡಿಮೆ ಮಾಡಲು ಮತ್ತು ವೇಗವನ್ನು ಸುಧಾರಿಸಲು, ಇಂಟೆಲ್ ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು TRUE ಗೆ ಹೊಂದಿಸಲು ಶಿಫಾರಸು ಮಾಡುತ್ತದೆ, ಅಲ್ಲಿ ಉಳಿದವು ಧನಾತ್ಮಕವಾಗಿರಬೇಕು ಅಥವಾ ಉಳಿದವು ಮುಖ್ಯವಲ್ಲ.
ಇಂಟೆಲ್-ನಿರ್ದಿಷ್ಟ ನಿಯತಾಂಕ. VHDL ವಿನ್ಯಾಸದಲ್ಲಿ MAXIMIZE_SPEED ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ನೀವು LPM_HINT ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಬಳಸಬೇಕು fileರು. ಮೌಲ್ಯಗಳು [0..9]. ಬಳಸಿದರೆ, ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್ವೇರ್ ರೂಟಬಿಲಿಟಿಗಿಂತ ವೇಗಕ್ಕಾಗಿ LPM_DIVIDE ಕಾರ್ಯದ ನಿರ್ದಿಷ್ಟ ನಿದರ್ಶನವನ್ನು ಆಪ್ಟಿಮೈಜ್ ಮಾಡಲು ಪ್ರಯತ್ನಿಸುತ್ತದೆ ಮತ್ತು ಆಪ್ಟಿಮೈಸೇಶನ್ ಟೆಕ್ನಿಕ್ ಲಾಜಿಕ್ ಆಯ್ಕೆಯ ಸೆಟ್ಟಿಂಗ್ ಅನ್ನು ಅತಿಕ್ರಮಿಸುತ್ತದೆ. MAXIMIZE_SPEED ಬಳಕೆಯಾಗದಿದ್ದಲ್ಲಿ, ಆಪ್ಟಿಮೈಸೇಶನ್ ಟೆಕ್ನಿಕ್ ಆಯ್ಕೆಯ ಮೌಲ್ಯವನ್ನು ಬದಲಿಗೆ ಬಳಸಲಾಗುತ್ತದೆ. MAXIMIZE_SPEED ನ ಮೌಲ್ಯವು 6 ಅಥವಾ ಹೆಚ್ಚಿನದಾಗಿದ್ದರೆ, ಕಂಪೈಲರ್ ಕ್ಯಾರಿ ಚೈನ್ಗಳನ್ನು ಬಳಸಿಕೊಂಡು ಹೆಚ್ಚಿನ ವೇಗಕ್ಕಾಗಿ LPM_DIVIDE IP ಕೋರ್ ಅನ್ನು ಆಪ್ಟಿಮೈಸ್ ಮಾಡುತ್ತದೆ; ಮೌಲ್ಯವು 5 ಅಥವಾ ಅದಕ್ಕಿಂತ ಕಡಿಮೆಯಿದ್ದರೆ, ಕಂಪೈಲರ್ ಕ್ಯಾರಿ ಚೈನ್ಗಳಿಲ್ಲದೆ ವಿನ್ಯಾಸವನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸುತ್ತದೆ.
ಅಂಶದೊಂದಿಗೆ ಸಂಯೋಜಿತವಾಗಿರುವ ಸುಪ್ತತೆಯ ಗಡಿಯಾರದ ಚಕ್ರಗಳ ಸಂಖ್ಯೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ[] ಮತ್ತು ಉಳಿದಿರುವ[] ಔಟ್ಪುಟ್ಗಳು. ಶೂನ್ಯ (0) ಮೌಲ್ಯವು ಯಾವುದೇ ಸುಪ್ತತೆ ಅಸ್ತಿತ್ವದಲ್ಲಿಲ್ಲ ಮತ್ತು ಸಂಪೂರ್ಣವಾಗಿ ಸಂಯೋಜಿತ ಕಾರ್ಯವನ್ನು ತಕ್ಷಣವೇ ಹೊಂದಿದೆ ಎಂದು ಸೂಚಿಸುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 0 ಆಗಿದೆ (ಪೈಪ್ಲೈನ್ ಮಾಡದ). LPM_WIDTHN ಗಿಂತ ಹೆಚ್ಚಿನ LPM_PIPELINE ಪ್ಯಾರಾಮೀಟರ್ಗಾಗಿ ನೀವು ಮೌಲ್ಯವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗುವುದಿಲ್ಲ.
ಈ ನಿಯತಾಂಕವನ್ನು ಮಾಡೆಲಿಂಗ್ ಮತ್ತು ವರ್ತನೆಯ ಸಿಮ್ಯುಲೇಶನ್ ಉದ್ದೇಶಗಳಿಗಾಗಿ ಬಳಸಲಾಗುತ್ತದೆ. ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಈ ನಿಯತಾಂಕದ ಮೌಲ್ಯವನ್ನು ಲೆಕ್ಕಾಚಾರ ಮಾಡುತ್ತದೆ.
LPM_DIVIDE IP ಕೋರ್ಗೆ ಪ್ರಮುಖ GND ಸಂಖ್ಯೆಯನ್ನು ಒದಗಿಸುವ ಮೂಲಕ ಪ್ರಮುಖ ಬಿಟ್ಗಳಲ್ಲಿ ಲಾಜಿಕ್ ಅನ್ನು ಆಪ್ಟಿಮೈಜ್ ಮಾಡಲು ಹೆಚ್ಚು ಪರಿಣಾಮಕಾರಿಯಾದ ಫ್ರ್ಯಾಕ್ಷನಲ್ ಬಿಟ್ ಡಿವಿಷನ್ಗೆ ಅನುಮತಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ಗೆ ಅಂಶದ ಔಟ್ಪುಟ್ನಲ್ಲಿ ಪ್ರಮುಖ GND ಸಂಖ್ಯೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 15
683490 | 2020.10.05 ಪ್ರತಿಕ್ರಿಯೆ ಕಳುಹಿಸಿ
4. LPM_MULT (ಮಲ್ಟಿಪ್ಲೈಯರ್) IP ಕೋರ್
ಚಿತ್ರ 3.
LPM_MULT IP ಕೋರ್ ಉತ್ಪನ್ನವನ್ನು ಔಟ್ಪುಟ್ ಆಗಿ ಉತ್ಪಾದಿಸಲು ಎರಡು ಇನ್ಪುಟ್ ಡೇಟಾ ಮೌಲ್ಯಗಳನ್ನು ಗುಣಿಸಲು ಗುಣಕವನ್ನು ಅಳವಡಿಸುತ್ತದೆ.
ಕೆಳಗಿನ ಚಿತ್ರವು LPM_MULT IP ಕೋರ್ಗಾಗಿ ಪೋರ್ಟ್ಗಳನ್ನು ತೋರಿಸುತ್ತದೆ.
LPM_Mult ಪೋರ್ಟ್ಗಳು
LPM_MULT ಗಡಿಯಾರ ಡೇಟಾ[] ಫಲಿತಾಂಶ[] ಡೇಟಾಬ್[] aclr/sclr clken
inst
ಪುಟ 71 ರಲ್ಲಿ ಸಂಬಂಧಿತ ಮಾಹಿತಿ ವೈಶಿಷ್ಟ್ಯಗಳು
4.1. ವೈಶಿಷ್ಟ್ಯಗಳು
LPM_MULT IP ಕೋರ್ ಈ ಕೆಳಗಿನ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ನೀಡುತ್ತದೆ: · ಎರಡು ಇನ್ಪುಟ್ ಡೇಟಾ ಮೌಲ್ಯಗಳನ್ನು ಗುಣಿಸುವ ಗುಣಕವನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ · 1 ಬಿಟ್ಗಳ ಡೇಟಾ ಅಗಲವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಸಹಿ ಮತ್ತು ಸಹಿ ಮಾಡದ ಡೇಟಾ ಪ್ರಾತಿನಿಧ್ಯವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ ಡೆಡಿಕೇಟೆಡ್ ಡಿಜಿಟಲ್ ಸಿಗ್ನಲ್ ಪ್ರೊಸೆಸಿಂಗ್ನಲ್ಲಿ (ಡಿಎಸ್ಪಿ) ಅನುಷ್ಠಾನಕ್ಕೆ ಆಯ್ಕೆ
ಬ್ಲಾಕ್ ಸರ್ಕ್ಯೂಟ್ರಿ ಅಥವಾ ಲಾಜಿಕ್ ಅಂಶಗಳು (LEs) ಗಮನಿಸಿ: ಸ್ಥಳೀಯವಾಗಿ ಬೆಂಬಲಿತ ಗಾತ್ರಕ್ಕಿಂತ ದೊಡ್ಡದಾದ ಗುಣಕಗಳನ್ನು ನಿರ್ಮಿಸುವಾಗ/
DSP ಬ್ಲಾಕ್ಗಳ ಕ್ಯಾಸ್ಕೇಡಿಂಗ್ನಿಂದ ಉಂಟಾಗುವ ಕಾರ್ಯಕ್ಷಮತೆಯ ಪ್ರಭಾವವಾಗಿರುತ್ತದೆ. · ಐಚ್ಛಿಕ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮತ್ತು ಗಡಿಯಾರವು ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ · Intel Stratix 10, Intel Arria 10 ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳಿಗೆ ಐಚ್ಛಿಕ ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಅನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ
ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.
ISO 9001:2015 ನೋಂದಾಯಿಸಲಾಗಿದೆ
4. LPM_MULT (ಮಲ್ಟಿಪ್ಲೈಯರ್) IP ಕೋರ್ 683490 | 2020.10.05
4.2 ವೆರಿಲೋಗ್ HDL ಮಾದರಿ
ಕೆಳಗಿನ ವೆರಿಲಾಗ್ HDL ಮೂಲಮಾದರಿಯು ವೆರಿಲಾಗ್ ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.v) lpm.v ರಲ್ಲಿ ಎಡಾಸಿಂಥೆಸಿಸ್ ಡೈರೆಕ್ಟರಿ.
ಮಾಡ್ಯೂಲ್ lpm_mult (ಫಲಿತಾಂಶ, ಡೇಟಾ, ಡೇಟಾಬ್, ಮೊತ್ತ, ಗಡಿಯಾರ, clken, aclr ) ಪ್ಯಾರಾಮೀಟರ್ lpm_type = "lpm_mult"; ಪ್ಯಾರಾಮೀಟರ್ lpm_widtha = 1; ಪ್ಯಾರಾಮೀಟರ್ lpm_widthb = 1; ಪ್ಯಾರಾಮೀಟರ್ lpm_widths = 1; ಪ್ಯಾರಾಮೀಟರ್ lpm_widthp = 1; ಪ್ಯಾರಾಮೀಟರ್ lpm_representation = "UNSIGNED"; ಪ್ಯಾರಾಮೀಟರ್ lpm_pipeline = 0; ಪ್ಯಾರಾಮೀಟರ್ lpm_hint = "UNUSED"; ಇನ್ಪುಟ್ ಗಡಿಯಾರ; ಇನ್ಪುಟ್ ಕ್ಲಕೆನ್; ಇನ್ಪುಟ್ aclr; ಇನ್ಪುಟ್ [lpm_widtha-1:0] ಡೇಟಾ; ಇನ್ಪುಟ್ [lpm_widthb-1:0] ಡೇಟಾಬ್; ಇನ್ಪುಟ್ [lpm_widths-1:0] ಮೊತ್ತ; ಔಟ್ಪುಟ್ [lpm_widthp-1:0] ಫಲಿತಾಂಶ; ಎಂಡ್ ಮಾಡ್ಯೂಲ್
4.3 VHDL ಘಟಕ ಘೋಷಣೆ
VHDL ಘಟಕ ಘೋಷಣೆಯು VHDL ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.vhd) LPM_PACK.vhd ರಲ್ಲಿ ಗ್ರಂಥಾಲಯಗಳುvhdllpm ಡೈರೆಕ್ಟರಿ.
ಘಟಕ LPM_MULT ಜೆನೆರಿಕ್ (LPM_WIDTHA: ನೈಸರ್ಗಿಕ; LPM_WIDTHB: ನೈಸರ್ಗಿಕ; LPM_WIDTHS: ನೈಸರ್ಗಿಕ:= 1; LPM_WIDTHP: ನೈಸರ್ಗಿಕ;
LPM_REPRESENTATION : ಸ್ಟ್ರಿಂಗ್ := “ಅನ್ಸೈನ್ಡ್”; LPM_PIPELINE : ನೈಸರ್ಗಿಕ := 0; LPM_TYPE: ಸ್ಟ್ರಿಂಗ್ := L_MULT; LPM_HINT : ಸ್ಟ್ರಿಂಗ್ := "ಬಳಕೆಯಾಗದ"); ಪೋರ್ಟ್ (DATAA: std_logic_vector ನಲ್ಲಿ (LPM_WIDTHA-1 ಡೌನ್ಟು 0); DATAB: std_logic_vector (LPM_WIDTHB-1 ಡೌನ್ಟು 0); ACLR: std_logic ನಲ್ಲಿ:= '0'; CLOCK: std_logic: ರಲ್ಲಿ: := '0'; SUM : std_logic_vector (LPM_WIDTHS-1 ಡೌನ್ಟು 1) := (OTHERS => '0') : ಔಟ್ std_logic_vector(LPM_WIDTHP-0 ಡೌನ್ಟು 1) ಅಂತಿಮ ಘಟಕ;
4.4 VHDL LIBRARY_USE ಘೋಷಣೆ
ನೀವು VHDL ಕಾಂಪೊನೆಂಟ್ ಘೋಷಣೆಯನ್ನು ಬಳಸಿದರೆ VHDL ಲೈಬ್ರರಿ-ಬಳಕೆಯ ಘೋಷಣೆಯ ಅಗತ್ಯವಿಲ್ಲ.
ಲೈಬ್ರರಿ lpm; lpm.lpm_components.all ಅನ್ನು ಬಳಸಿ;
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 17
4. LPM_MULT (ಮಲ್ಟಿಪ್ಲೈಯರ್) IP ಕೋರ್ 683490 | 2020.10.05
4.5 ಸಂಕೇತಗಳು
ಕೋಷ್ಟಕ 7.
LPM_MULT ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳು
ಸಿಗ್ನಲ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಡೇಟಾ[]
ಹೌದು
ಡೇಟಾ ಇನ್ಪುಟ್.
Intel Stratix 10, Intel Arria 10, ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳಿಗೆ, ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ನ ಗಾತ್ರವು ಡೇಟಾ ಅಗಲ ನಿಯತಾಂಕದ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ಹಳೆಯ ಮತ್ತು Intel Cyclone 10 LP ಸಾಧನಗಳಿಗೆ, ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ನ ಗಾತ್ರವು LPM_WIDTHA ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ಡೇಟಾಬ್[]
ಹೌದು
ಡೇಟಾ ಇನ್ಪುಟ್.
Intel Stratix 10, Intel Arria 10, ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳಿಗೆ, ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ನ ಗಾತ್ರವು ಡೇಟಾಬ್ ಅಗಲದ ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ಹಳೆಯ ಮತ್ತು Intel Cyclone 10 LP ಸಾಧನಗಳಿಗೆ, ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ನ ಗಾತ್ರವು ಅವಲಂಬಿತವಾಗಿರುತ್ತದೆ
LPM_WIDTHB ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯದಲ್ಲಿ.
ಗಡಿಯಾರ
ಸಂ
ಪೈಪ್ಲೈನ್ ಬಳಕೆಗಾಗಿ ಗಡಿಯಾರ ಇನ್ಪುಟ್.
ಹಳೆಯ ಮತ್ತು Intel Cyclone 10 LP ಸಾಧನಗಳಿಗೆ, ಗಡಿಯಾರದ ಸಂಕೇತವನ್ನು 0 (ಡೀಫಾಲ್ಟ್) ಹೊರತುಪಡಿಸಿ LPM_PIPELINE ಮೌಲ್ಯಗಳಿಗೆ ಸಕ್ರಿಯಗೊಳಿಸಬೇಕು.
Intel Stratix 10, Intel Arria 10, ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳಿಗೆ, ಲ್ಯಾಟೆನ್ಸಿ ಮೌಲ್ಯವು 1 (ಡೀಫಾಲ್ಟ್) ಹೊರತುಪಡಿಸಿ ಬೇರೆಯಾಗಿದ್ದರೆ ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಬೇಕು.
ಕ್ಲಕೆನ್
ಸಂ
ಪೈಪ್ಲೈನ್ ಬಳಕೆಗಾಗಿ ಗಡಿಯಾರ ಸಕ್ರಿಯಗೊಳಿಸಿ. ಕ್ಲಕೆನ್ ಸಿಗ್ನಲ್ ಅನ್ನು ಹೆಚ್ಚು ಪ್ರತಿಪಾದಿಸಿದಾಗ, ದಿ
ಸಂಯೋಜಕ/ವ್ಯವಕಲನ ಕಾರ್ಯಾಚರಣೆ ನಡೆಯುತ್ತದೆ. ಸಿಗ್ನಲ್ ಕಡಿಮೆಯಾದಾಗ, ಯಾವುದೇ ಕಾರ್ಯಾಚರಣೆ ಇಲ್ಲ
ಸಂಭವಿಸುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 1 ಆಗಿದೆ.
ಎಸಿಎಲ್ಆರ್ ಎಸ್ಎಲ್ಆರ್
ಸಂ
ಪೈಪ್ಲೈನ್ ಅನ್ನು ಎಲ್ಲಾ 0 ಸೆಗಳಿಗೆ ಮರುಹೊಂದಿಸಲು ಯಾವುದೇ ಸಮಯದಲ್ಲಿ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಸಂಕೇತವನ್ನು ಬಳಸಲಾಗುತ್ತದೆ,
ಗಡಿಯಾರದ ಸಂಕೇತಕ್ಕೆ ಅಸಮಕಾಲಿಕವಾಗಿ. ಪೈಪ್ಲೈನ್ ಅನಿರ್ದಿಷ್ಟ (X) ಗೆ ಪ್ರಾರಂಭಿಸುತ್ತದೆ
ತರ್ಕ ಮಟ್ಟ. ಔಟ್ಪುಟ್ಗಳು ಸ್ಥಿರವಾದ, ಆದರೆ ಶೂನ್ಯವಲ್ಲದ ಮೌಲ್ಯವಾಗಿದೆ.
ಸಂ
ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಸಂಕೇತವನ್ನು ಪೈಪ್ಲೈನ್ ಅನ್ನು ಎಲ್ಲಾ 0ಗಳಿಗೆ ಮರುಹೊಂದಿಸಲು ಯಾವುದೇ ಸಮಯದಲ್ಲಿ ಬಳಸಲಾಗುತ್ತದೆ,
ಗಡಿಯಾರದ ಸಂಕೇತಕ್ಕೆ ಸಿಂಕ್ರೊನಸ್ ಆಗಿ. ಪೈಪ್ಲೈನ್ ಅನಿರ್ದಿಷ್ಟ (X) ಗೆ ಪ್ರಾರಂಭಿಸುತ್ತದೆ
ತರ್ಕ ಮಟ್ಟ. ಔಟ್ಪುಟ್ಗಳು ಸ್ಥಿರವಾದ, ಆದರೆ ಶೂನ್ಯವಲ್ಲದ ಮೌಲ್ಯವಾಗಿದೆ.
ಕೋಷ್ಟಕ 8.
LPM_MULT ಔಟ್ಪುಟ್ ಸಂಕೇತಗಳು
ಸಿಗ್ನಲ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಫಲಿತಾಂಶ[]
ಹೌದು
ಡೇಟಾ ಔಟ್ಪುಟ್.
ಹಳೆಯ ಮತ್ತು Intel Cyclone 10 LP ಸಾಧನಗಳಿಗೆ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ನ ಗಾತ್ರವು LPM_WIDTHP ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ. LPM_WIDTHP < max (LPM_WIDTHA + LPM_WIDTHB, LPM_WIDTHS) ಅಥವಾ (LPM_WIDTHA + LPM_WIDTHS), ಕೇವಲ LPM_WIDTHP MSB ಗಳು ಮಾತ್ರ ಇರುತ್ತವೆ.
Intel Stratix 10, Intel Arria 10 ಮತ್ತು Intel Cyclone 10 GX ಗಾಗಿ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳ ಗಾತ್ರವು ಫಲಿತಾಂಶದ ಅಗಲ ನಿಯತಾಂಕವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
4.6. ಸ್ಟ್ರಾಟಿಕ್ಸ್ ವಿ, ಅರ್ರಿಯಾ ವಿ, ಸೈಕ್ಲೋನ್ ವಿ, ಮತ್ತು ಇಂಟೆಲ್ ಸೈಕ್ಲೋನ್ 10 ಎಲ್ಪಿ ಸಾಧನಗಳಿಗೆ ನಿಯತಾಂಕಗಳು
4.6.1. ಸಾಮಾನ್ಯ ಟ್ಯಾಬ್
ಕೋಷ್ಟಕ 9.
ಸಾಮಾನ್ಯ ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಮಲ್ಟಿಪ್ಲೈಯರ್ ಕಾನ್ಫಿಗರೇಶನ್
'dataa' ಇನ್ಪುಟ್ ಅನ್ನು 'datab' ಇನ್ಪುಟ್ನಿಂದ ಗುಣಿಸಿ
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ವಿವರಣೆ
'dataa' ಇನ್ಪುಟ್ ಅನ್ನು 'datab' ಇನ್ಪುಟ್ನಿಂದ ಗುಣಿಸಿ
ಗುಣಕಕ್ಕಾಗಿ ಬಯಸಿದ ಸಂರಚನೆಯನ್ನು ಆಯ್ಕೆಮಾಡಿ.
ಮುಂದುವರೆಯಿತು…
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 18
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
4. LPM_MULT (ಮಲ್ಟಿಪ್ಲೈಯರ್) IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್
'ಡೇಟಾ' ಇನ್ಪುಟ್ ಎಷ್ಟು ವಿಸ್ತಾರವಾಗಿರಬೇಕು? 'ಡೇಟಾಬ್' ಇನ್ಪುಟ್ ಎಷ್ಟು ವಿಸ್ತಾರವಾಗಿರಬೇಕು? 'ಫಲಿತಾಂಶ' ಔಟ್ಪುಟ್ನ ಅಗಲವನ್ನು ಹೇಗೆ ನಿರ್ಧರಿಸಬೇಕು? ಅಗಲವನ್ನು ನಿರ್ಬಂಧಿಸಿ
ಮೌಲ್ಯ
'ಡೇಟಾ' ಇನ್ಪುಟ್ ಅನ್ನು ಸ್ವತಃ ಗುಣಿಸಿ (ಸ್ಕ್ವೇರ್ ಕಾರ್ಯಾಚರಣೆ)
1 - 256 ಬಿಟ್ಗಳು
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ವಿವರಣೆ
8 ಬಿಟ್ಗಳು
ಡೇಟಾ[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ಸೂಚಿಸಿ.
1 - 256 ಬಿಟ್ಗಳು
8 ಬಿಟ್ಗಳು
ಡೇಟಾಬ್[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ಸೂಚಿಸಿ.
ಅಗಲವನ್ನು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಲೆಕ್ಕಾಚಾರ ಮಾಡಿ ಅಗಲವನ್ನು ನಿರ್ಬಂಧಿಸಿ
1 - 512 ಬಿಟ್ಗಳು
ಸ್ವಯಂಚಾಲಿತವಾಗಿ ವೈ ಅಗಲವನ್ನು ಲೆಕ್ಕಾಚಾರ ಮಾಡಿ
ಫಲಿತಾಂಶ[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ನಿರ್ಧರಿಸಲು ಬಯಸಿದ ವಿಧಾನವನ್ನು ಆಯ್ಕೆಮಾಡಿ.
16 ಬಿಟ್ಗಳು
ಫಲಿತಾಂಶ[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ಸೂಚಿಸಿ.
ಟೈಪ್ ಪ್ಯಾರಾಮೀಟರ್ನಲ್ಲಿ ನೀವು ಅಗಲವನ್ನು ನಿರ್ಬಂಧಿಸಿ ಆಯ್ಕೆಮಾಡಿದರೆ ಮಾತ್ರ ಈ ಮೌಲ್ಯವು ಪರಿಣಾಮಕಾರಿಯಾಗಿರುತ್ತದೆ.
4.6.2. ಸಾಮಾನ್ಯ 2 ಟ್ಯಾಬ್
ಕೋಷ್ಟಕ 10. ಸಾಮಾನ್ಯ 2 ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಡೇಟಾ ಇನ್ಪುಟ್
'ಡೇಟಾಬ್' ಇನ್ಪುಟ್ ಬಸ್ ಸ್ಥಿರ ಮೌಲ್ಯವನ್ನು ಹೊಂದಿದೆಯೇ?
ಇಲ್ಲ ಹೌದು
ಗುಣಾಕಾರ ವಿಧ
ಯಾವ ರೀತಿಯ
ಸಹಿ ಮಾಡಿಲ್ಲ
ನಿಮಗೆ ಗುಣಾಕಾರ ಬೇಕೇ? ಸಹಿ
ಅನುಷ್ಠಾನ
ಯಾವ ಗುಣಕ ಅನುಷ್ಠಾನವನ್ನು ಬಳಸಬೇಕು?
ಡೀಫಾಲ್ಟ್ ಅನುಷ್ಠಾನವನ್ನು ಬಳಸಿ
ಮೀಸಲಾದ ಮಲ್ಟಿಪ್ಲೈಯರ್ ಸರ್ಕ್ಯೂಟ್ರಿ ಬಳಸಿ (ಎಲ್ಲಾ ಕುಟುಂಬಗಳಿಗೆ ಲಭ್ಯವಿಲ್ಲ)
ತರ್ಕ ಅಂಶಗಳನ್ನು ಬಳಸಿ
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ವಿವರಣೆ
ಸಂ
ನ ಸ್ಥಿರ ಮೌಲ್ಯವನ್ನು ಸೂಚಿಸಲು ಹೌದು ಆಯ್ಕೆಮಾಡಿ
`ಡೇಟಾಬ್' ಇನ್ಪುಟ್ ಬಸ್, ಯಾವುದಾದರೂ ಇದ್ದರೆ.
ಸಹಿ ಮಾಡಿಲ್ಲ
ಡೇಟಾ[] ಮತ್ತು ಡೇಟಾಬ್[] ಇನ್ಪುಟ್ಗಳಿಗೆ ಪ್ರಾತಿನಿಧ್ಯ ಸ್ವರೂಪವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
ಡೀಫಾಲ್ಟ್ ಅನುಷ್ಠಾನ ಅಯಾನ್ ಬಳಸಿ
ಫಲಿತಾಂಶ[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ನಿರ್ಧರಿಸಲು ಬಯಸಿದ ವಿಧಾನವನ್ನು ಆಯ್ಕೆಮಾಡಿ.
4.6.3. ಪೈಪ್ಲೈನಿಂಗ್ ಟ್ಯಾಬ್
ಕೋಷ್ಟಕ 11. ಪೈಪ್ಲೈನಿಂಗ್ ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್
ನೀವು ನಂ. ಅನ್ನು ಪೈಪ್ಲೈನ್ ಮಾಡಲು ಬಯಸುವಿರಾ
ಕಾರ್ಯ?
ಹೌದು
ಮೌಲ್ಯ
'aclr' ಅನ್ನು ರಚಿಸಿ
—
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಪೋರ್ಟ್
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ವಿವರಣೆ
ಸಂ
ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಹೌದು ಆಯ್ಕೆಮಾಡಿ
ಗುಣಕದ ಔಟ್ಪುಟ್ ಮತ್ತು ಬಯಸಿದದನ್ನು ಸೂಚಿಸಿ
ಗಡಿಯಾರ ಚಕ್ರದಲ್ಲಿ ಔಟ್ಪುಟ್ ಲೇಟೆನ್ಸಿ. ಸಕ್ರಿಯಗೊಳಿಸಲಾಗುತ್ತಿದೆ
ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ ಹೆಚ್ಚುವರಿ ಸುಪ್ತತೆಯನ್ನು ಸೇರಿಸುತ್ತದೆ
ಔಟ್ಪುಟ್.
ಗುರುತಿಸಲಾಗಿಲ್ಲ
ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಅಸಮಕಾಲಿಕ ಕ್ಲಿಯರ್ ಅನ್ನು ಬಳಸಲು aclr ಪೋರ್ಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ.
ಮುಂದುವರೆಯಿತು…
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 19
4. LPM_MULT (ಮಲ್ಟಿಪ್ಲೈಯರ್) IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್
ಗಡಿಯಾರವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು 'clken' ಗಡಿಯಾರವನ್ನು ರಚಿಸಿ
ಆಪ್ಟಿಮೈಸೇಶನ್
ನೀವು ಯಾವ ರೀತಿಯ ಆಪ್ಟಿಮೈಸೇಶನ್ ಬಯಸುತ್ತೀರಿ?
ಮೌಲ್ಯ -
ಡೀಫಾಲ್ಟ್ ಸ್ಪೀಡ್ ಏರಿಯಾ
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ವಿವರಣೆ
ಗುರುತಿಸಲಾಗಿಲ್ಲ
ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ನ ಕ್ಲಾಕ್ ಪೋರ್ಟ್ಗಾಗಿ ಸಕ್ರಿಯವಾದ ಹೆಚ್ಚಿನ ಗಡಿಯಾರ ಸಕ್ರಿಯಗೊಳಿಸುವಿಕೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ
ಡೀಫಾಲ್ಟ್
IP ಕೋರ್ಗಾಗಿ ಬಯಸಿದ ಆಪ್ಟಿಮೈಸೇಶನ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
IP ಕೋರ್ಗೆ ಉತ್ತಮ ಆಪ್ಟಿಮೈಸೇಶನ್ ಅನ್ನು ನಿರ್ಧರಿಸಲು ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್ವೇರ್ ಅನ್ನು ಅನುಮತಿಸಲು ಡೀಫಾಲ್ಟ್ ಆಯ್ಕೆಮಾಡಿ.
4.7. Intel Stratix 10, Intel Arria 10, ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳಿಗೆ ನಿಯತಾಂಕಗಳು
4.7.1. ಸಾಮಾನ್ಯ ಟ್ಯಾಬ್
ಕೋಷ್ಟಕ 12. ಸಾಮಾನ್ಯ ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ವಿವರಣೆ
ಮಲ್ಟಿಪ್ಲೈಯರ್ ಕಾನ್ಫಿಗರೇಶನ್ ಪ್ರಕಾರ
ಡೇಟಾ ಪೋರ್ಟ್ ಅಗಲಗಳು
'dataa' ಇನ್ಪುಟ್ ಅನ್ನು 'datab' ಇನ್ಪುಟ್ನಿಂದ ಗುಣಿಸಿ
'ಡೇಟಾ' ಇನ್ಪುಟ್ ಅನ್ನು ಸ್ವತಃ ಗುಣಿಸಿ (ಸ್ಕ್ವೇರ್ ಕಾರ್ಯಾಚರಣೆ)
'dataa' ಇನ್ಪುಟ್ ಅನ್ನು 'datab' ಇನ್ಪುಟ್ನಿಂದ ಗುಣಿಸಿ
ಗುಣಕಕ್ಕಾಗಿ ಬಯಸಿದ ಸಂರಚನೆಯನ್ನು ಆಯ್ಕೆಮಾಡಿ.
ಡೇಟಾ ಅಗಲ
1 - 256 ಬಿಟ್ಗಳು
8 ಬಿಟ್ಗಳು
ಡೇಟಾ[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ಸೂಚಿಸಿ.
ಡೇಟಾಬ್ ಅಗಲ
1 - 256 ಬಿಟ್ಗಳು
8 ಬಿಟ್ಗಳು
ಡೇಟಾಬ್[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ಸೂಚಿಸಿ.
'ಫಲಿತಾಂಶ' ಔಟ್ಪುಟ್ನ ಅಗಲವನ್ನು ಹೇಗೆ ನಿರ್ಧರಿಸಬೇಕು?
ಟೈಪ್ ಮಾಡಿ
ಅಗಲವನ್ನು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಲೆಕ್ಕಾಚಾರ ಮಾಡಿ
ಅಗಲವನ್ನು ನಿರ್ಬಂಧಿಸಿ
ಸ್ವಯಂಚಾಲಿತವಾಗಿ ವೈ ಅಗಲವನ್ನು ಲೆಕ್ಕಾಚಾರ ಮಾಡಿ
ಫಲಿತಾಂಶ[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ನಿರ್ಧರಿಸಲು ಬಯಸಿದ ವಿಧಾನವನ್ನು ಆಯ್ಕೆಮಾಡಿ.
ಮೌಲ್ಯ
1 - 512 ಬಿಟ್ಗಳು
16 ಬಿಟ್ಗಳು
ಫಲಿತಾಂಶ[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ಸೂಚಿಸಿ.
ಟೈಪ್ ಪ್ಯಾರಾಮೀಟರ್ನಲ್ಲಿ ನೀವು ಅಗಲವನ್ನು ನಿರ್ಬಂಧಿಸಿ ಆಯ್ಕೆಮಾಡಿದರೆ ಮಾತ್ರ ಈ ಮೌಲ್ಯವು ಪರಿಣಾಮಕಾರಿಯಾಗಿರುತ್ತದೆ.
ಫಲಿತಾಂಶದ ಅಗಲ
1 - 512 ಬಿಟ್ಗಳು
—
ಫಲಿತಾಂಶ[] ಪೋರ್ಟ್ನ ಪರಿಣಾಮಕಾರಿ ಅಗಲವನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ.
4.7.2. ಸಾಮಾನ್ಯ 2 ಟ್ಯಾಬ್
ಕೋಷ್ಟಕ 13. ಸಾಮಾನ್ಯ 2 ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್
ಡೇಟಾ ಇನ್ಪುಟ್
'ಡೇಟಾಬ್' ಇನ್ಪುಟ್ ಬಸ್ ಸ್ಥಿರ ಮೌಲ್ಯವನ್ನು ಹೊಂದಿದೆಯೇ?
ಇಲ್ಲ ಹೌದು
ಮೌಲ್ಯ
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ವಿವರಣೆ
ಸಂ
ನ ಸ್ಥಿರ ಮೌಲ್ಯವನ್ನು ಸೂಚಿಸಲು ಹೌದು ಆಯ್ಕೆಮಾಡಿ
`ಡೇಟಾಬ್' ಇನ್ಪುಟ್ ಬಸ್, ಯಾವುದಾದರೂ ಇದ್ದರೆ.
ಮುಂದುವರೆಯಿತು…
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 20
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
4. LPM_MULT (ಮಲ್ಟಿಪ್ಲೈಯರ್) IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಮೌಲ್ಯ
0 ಕ್ಕಿಂತ ಹೆಚ್ಚಿನ ಯಾವುದೇ ಮೌಲ್ಯ
ಗುಣಾಕಾರ ವಿಧ
ಯಾವ ರೀತಿಯ
ಸಹಿ ಮಾಡಿಲ್ಲ
ನಿಮಗೆ ಗುಣಾಕಾರ ಬೇಕೇ? ಸಹಿ
ಅನುಷ್ಠಾನದ ಶೈಲಿ
ಯಾವ ಗುಣಕ ಅನುಷ್ಠಾನವನ್ನು ಬಳಸಬೇಕು?
ಡೀಫಾಲ್ಟ್ ಅನುಷ್ಠಾನವನ್ನು ಬಳಸಿ
ಮೀಸಲಾದ ಮಲ್ಟಿಪ್ಲೈಯರ್ ಸರ್ಕ್ಯೂಟ್ರಿಯನ್ನು ಬಳಸಿ
ತರ್ಕ ಅಂಶಗಳನ್ನು ಬಳಸಿ
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ವಿವರಣೆ
0
ಡೇಟಾಬ್[] ಪೋರ್ಟ್ನ ಸ್ಥಿರ ಮೌಲ್ಯವನ್ನು ಸೂಚಿಸಿ.
ಸಹಿ ಮಾಡಿಲ್ಲ
ಡೇಟಾ[] ಮತ್ತು ಡೇಟಾಬ್[] ಇನ್ಪುಟ್ಗಳಿಗೆ ಪ್ರಾತಿನಿಧ್ಯ ಸ್ವರೂಪವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
ಡೀಫಾಲ್ಟ್ ಅನುಷ್ಠಾನ ಅಯಾನ್ ಬಳಸಿ
ಫಲಿತಾಂಶ[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ನಿರ್ಧರಿಸಲು ಬಯಸಿದ ವಿಧಾನವನ್ನು ಆಯ್ಕೆಮಾಡಿ.
4.7.3. ಪೈಪ್ಲೈನಿಂಗ್
ಕೋಷ್ಟಕ 14. ಪೈಪ್ಲೈನಿಂಗ್ ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ನೀವು ಕಾರ್ಯವನ್ನು ಪೈಪ್ಲೈನ್ ಮಾಡಲು ಬಯಸುವಿರಾ?
ಪೈಪ್ಲೈನ್
ಇಲ್ಲ ಹೌದು
ಲೇಟೆನ್ಸಿ ಕ್ಲಿಯರ್ ಸಿಗ್ನಲ್ ಪ್ರಕಾರ
0 ಗಿಂತ ಹೆಚ್ಚಿನ ಯಾವುದೇ ಮೌಲ್ಯ.
ಯಾವುದೂ ಇಲ್ಲ ACLR SCLR
'clken' ಗಡಿಯಾರವನ್ನು ರಚಿಸಿ
—
ಗಡಿಯಾರವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ
ನೀವು ಯಾವ ರೀತಿಯ ಆಪ್ಟಿಮೈಸೇಶನ್ ಬಯಸುತ್ತೀರಿ?
ಟೈಪ್ ಮಾಡಿ
ಡೀಫಾಲ್ಟ್ ಸ್ಪೀಡ್ ಏರಿಯಾ
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ವಿವರಣೆ
ಸಂಖ್ಯೆ 1 ಇಲ್ಲ
—
ಮಲ್ಟಿಪ್ಲೈಯರ್ನ ಔಟ್ಪುಟ್ಗೆ ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಹೌದು ಆಯ್ಕೆಮಾಡಿ. ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುವುದು ಔಟ್ಪುಟ್ಗೆ ಹೆಚ್ಚುವರಿ ಲೇಟೆನ್ಸಿಯನ್ನು ಸೇರಿಸುತ್ತದೆ.
ಗಡಿಯಾರ ಚಕ್ರದಲ್ಲಿ ಅಪೇಕ್ಷಿತ ಔಟ್ಪುಟ್ ಲೇಟೆನ್ಸಿಯನ್ನು ಸೂಚಿಸಿ.
ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಮರುಹೊಂದಿಸುವ ಪ್ರಕಾರವನ್ನು ಸೂಚಿಸಿ. ನೀವು ಯಾವುದೇ ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಬಳಸದಿದ್ದರೆ NONE ಆಯ್ಕೆಮಾಡಿ. ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟವನ್ನು ಬಳಸಲು ACLR ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ. ಇದು ACLR ಪೋರ್ಟ್ ಅನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ. ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಅನ್ನು ಬಳಸಲು SCLR ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ. ಇದು SCLR ಪೋರ್ಟ್ ಅನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ.
ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ನ ಕ್ಲಾಕ್ ಪೋರ್ಟ್ಗಾಗಿ ಸಕ್ರಿಯವಾದ ಹೆಚ್ಚಿನ ಗಡಿಯಾರ ಸಕ್ರಿಯಗೊಳಿಸುವಿಕೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ
ಡೀಫಾಲ್ಟ್
IP ಕೋರ್ಗಾಗಿ ಬಯಸಿದ ಆಪ್ಟಿಮೈಸೇಶನ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
IP ಕೋರ್ಗೆ ಉತ್ತಮ ಆಪ್ಟಿಮೈಸೇಶನ್ ಅನ್ನು ನಿರ್ಧರಿಸಲು Intel Quartus Prime ಸಾಫ್ಟ್ವೇರ್ ಅನ್ನು ಅನುಮತಿಸಲು ಡೀಫಾಲ್ಟ್ ಆಯ್ಕೆಮಾಡಿ.
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 21
683490 | 2020.10.05 ಪ್ರತಿಕ್ರಿಯೆ ಕಳುಹಿಸಿ
5. LPM_ADD_SUB (ಸೇರ್ಪಡೆ/ವ್ಯವಕಲನಕಾರ)
ಚಿತ್ರ 4.
LPM_ADD_SUB IP ಕೋರ್ ಇನ್ಪುಟ್ ಮೌಲ್ಯಗಳ ಮೊತ್ತ ಅಥವಾ ವ್ಯತ್ಯಾಸವನ್ನು ಹೊಂದಿರುವ ಔಟ್ಪುಟ್ ಅನ್ನು ಉತ್ಪಾದಿಸಲು ಡೇಟಾ ಸೆಟ್ಗಳನ್ನು ಸೇರಿಸಲು ಅಥವಾ ಕಳೆಯಲು ಆಡ್ಡರ್ ಅಥವಾ ವ್ಯವಕಲನವನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸಲು ನಿಮಗೆ ಅನುಮತಿಸುತ್ತದೆ.
ಕೆಳಗಿನ ಚಿತ್ರವು LPM_ADD_SUB IP ಕೋರ್ಗಾಗಿ ಪೋರ್ಟ್ಗಳನ್ನು ತೋರಿಸುತ್ತದೆ.
LPM_ADD_SUB ಪೋರ್ಟ್ಗಳು
LPM_ADD_SUB add_sub cin
ಡೇಟಾ[]
ಗಡಿಯಾರ ಕ್ಲಕೆನ್ ಡೇಟಾಬ್[] ಎಸಿಎಲ್ಆರ್
ಫಲಿತಾಂಶ[] ಓವರ್ಫ್ಲೋ ಕೌಟ್
inst
5.1. ವೈಶಿಷ್ಟ್ಯಗಳು
LPM_ADD_SUB IP ಕೋರ್ ಈ ಕೆಳಗಿನ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ನೀಡುತ್ತದೆ: · ಆಡರ್, ವ್ಯವಕಲನ ಮತ್ತು ಕ್ರಿಯಾತ್ಮಕವಾಗಿ ಕಾನ್ಫಿಗರ್ ಮಾಡಬಹುದಾದ ಆಡರ್/ವ್ಯವಕಲನವನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ
ಕಾರ್ಯಗಳು. · 1 ಬಿಟ್ಗಳ ಡೇಟಾ ಅಗಲವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ. · ಸಹಿ ಮತ್ತು ಸಹಿ ಮಾಡದಂತಹ ಡೇಟಾ ಪ್ರಾತಿನಿಧ್ಯದ ಸ್ವರೂಪವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ. · ಐಚ್ಛಿಕ ಕ್ಯಾರಿ-ಇನ್ (ಎರವಲು-ಹೊರಗೆ), ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮತ್ತು ಗಡಿಯಾರ ಸಕ್ರಿಯಗೊಳಿಸುವಿಕೆಯನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ
ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು. · ಐಚ್ಛಿಕ ಕ್ಯಾರಿ-ಔಟ್ (ಎರವಲು-ಇನ್) ಮತ್ತು ಓವರ್ಫ್ಲೋ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ. · ಇನ್ಪುಟ್ ಡೇಟಾ ಬಸ್ಗಳಲ್ಲಿ ಒಂದನ್ನು ಸ್ಥಿರಕ್ಕೆ ನಿಯೋಜಿಸುತ್ತದೆ. · ಕಾನ್ಫಿಗರ್ ಮಾಡಬಹುದಾದ ಔಟ್ಪುಟ್ ಲೇಟೆನ್ಸಿಯೊಂದಿಗೆ ಪೈಪ್ಲೈನ್ ಅನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ.
ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.
ISO 9001:2015 ನೋಂದಾಯಿಸಲಾಗಿದೆ
5. LPM_ADD_SUB (ಸೇರ್ಪಡೆ/ವ್ಯವಕಲನಕಾರ) 683490 | 2020.10.05
5.2 ವೆರಿಲೋಗ್ HDL ಮಾದರಿ
ಕೆಳಗಿನ ವೆರಿಲಾಗ್ HDL ಮೂಲಮಾದರಿಯು ವೆರಿಲಾಗ್ ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.v) lpm.v ರಲ್ಲಿ ಎಡಾಸಿಂಥೆಸಿಸ್ ಡೈರೆಕ್ಟರಿ.
ಮಾಡ್ಯೂಲ್ lpm_add_sub (ಫಲಿತಾಂಶ, ಕೌಟ್, ಓವರ್ಫ್ಲೋ, add_sub, cin, dataa, datab, clock, clken, aclr ); ಪ್ಯಾರಾಮೀಟರ್ lpm_type = "lpm_add_sub"; ಪ್ಯಾರಾಮೀಟರ್ lpm_width = 1; ಪ್ಯಾರಾಮೀಟರ್ lpm_direction = "UNUSED"; ಪ್ಯಾರಾಮೀಟರ್ lpm_representation = "ಸಹಿ"; ಪ್ಯಾರಾಮೀಟರ್ lpm_pipeline = 0; ಪ್ಯಾರಾಮೀಟರ್ lpm_hint = "UNUSED"; ಇನ್ಪುಟ್ [lpm_width-1:0] ಡೇಟಾ, ಡೇಟಾಬ್; ಇನ್ಪುಟ್ add_sub, cin; ಇನ್ಪುಟ್ ಗಡಿಯಾರ; ಇನ್ಪುಟ್ ಕ್ಲಕೆನ್; ಇನ್ಪುಟ್ aclr; ಔಟ್ಪುಟ್ [lpm_width-1:0] ಫಲಿತಾಂಶ; ಔಟ್ಪುಟ್ ಕೌಟ್, ಓವರ್ಫ್ಲೋ; ಎಂಡ್ ಮಾಡ್ಯೂಲ್
5.3 VHDL ಘಟಕ ಘೋಷಣೆ
VHDL ಘಟಕ ಘೋಷಣೆಯು VHDL ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.vhd) LPM_PACK.vhd ರಲ್ಲಿ ಗ್ರಂಥಾಲಯಗಳುvhdllpm ಡೈರೆಕ್ಟರಿ.
ಘಟಕ LPM_ADD_SUB ಜೆನೆರಿಕ್ (LPM_WIDTH : ನೈಸರ್ಗಿಕ;
LPM_DIRECTION : ಸ್ಟ್ರಿಂಗ್ := “ಬಳಕೆಯಾಗದ”; LPM_REPRESENTATION: ಸ್ಟ್ರಿಂಗ್ := “ಸಹಿ”; LPM_PIPELINE : ನೈಸರ್ಗಿಕ := 0; LPM_TYPE : ಸ್ಟ್ರಿಂಗ್ := L_ADD_SUB; LPM_HINT : ಸ್ಟ್ರಿಂಗ್ := “Used”); ಪೋರ್ಟ್ (DATAA : std_logic_vector (LPM_WIDTH-1 ಡೌನ್ಟು 0); DATAB: std_logic_vector (LPM_WIDTH-1 ಡೌನ್ಟು 0); ACLR: std_logic := '0'; CLOCK : std_logic := '0' ರಲ್ಲಿ := '1'; CIN: std_logic:= 'Z'; ಫಲಿತಾಂಶ: std_logic_vector ಅಂತಿಮ ಘಟಕ;
5.4 VHDL LIBRARY_USE ಘೋಷಣೆ
ನೀವು VHDL ಕಾಂಪೊನೆಂಟ್ ಘೋಷಣೆಯನ್ನು ಬಳಸಿದರೆ VHDL ಲೈಬ್ರರಿ-ಬಳಕೆಯ ಘೋಷಣೆಯ ಅಗತ್ಯವಿಲ್ಲ.
ಲೈಬ್ರರಿ lpm; lpm.lpm_components.all ಅನ್ನು ಬಳಸಿ;
5.5. ಬಂದರುಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕಗಳು LPM_ADD_SUB IP ಕೋರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತವೆ.
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 23
5. LPM_ADD_SUB (ಸೇರ್ಪಡೆ/ವ್ಯವಕಲನಕಾರ) 683490 | 2020.10.05
ಕೋಷ್ಟಕ 15. LPM_ADD_SUB IP ಕೋರ್ ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಸಿನ್
ಸಂ
ಕಡಿಮೆ-ಆರ್ಡರ್ ಬಿಟ್ಗೆ ಕ್ಯಾರಿ-ಇನ್ ಮಾಡಿ. ಸೇರ್ಪಡೆ ಕಾರ್ಯಾಚರಣೆಗಳಿಗಾಗಿ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 0 ಆಗಿದೆ
ವ್ಯವಕಲನ ಕಾರ್ಯಾಚರಣೆಗಳು, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 1 ಆಗಿದೆ.
ಡೇಟಾ[]
ಹೌದು
ಡೇಟಾ ಇನ್ಪುಟ್. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು LPM_WIDTH ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ಡೇಟಾಬ್[]
ಹೌದು
ಡೇಟಾ ಇನ್ಪುಟ್. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು LPM_WIDTH ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
add_sub
ಸಂ
ಆಡ್ಡರ್ ಮತ್ತು ವ್ಯವಕಲನಕಾರರ ನಡುವೆ ಡೈನಾಮಿಕ್ ಸ್ವಿಚಿಂಗ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಐಚ್ಛಿಕ ಇನ್ಪುಟ್ ಪೋರ್ಟ್
ಕಾರ್ಯಗಳು. LPM_DIRECTION ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಬಳಸಿದರೆ, add_sub ಅನ್ನು ಬಳಸಲಾಗುವುದಿಲ್ಲ. ಒಂದು ವೇಳೆ
ಬಿಟ್ಟುಬಿಡಲಾಗಿದೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು ADD ಆಗಿದೆ. ನೀವು ಬಳಸಲು ಇಂಟೆಲ್ ಶಿಫಾರಸು ಮಾಡುತ್ತದೆ
LPM_ADD_SUB ಕಾರ್ಯದ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು LPM_DIRECTION ಪ್ಯಾರಾಮೀಟರ್,
add_sub ಪೋರ್ಟ್ಗೆ ಸ್ಥಿರವನ್ನು ನಿಯೋಜಿಸುವ ಬದಲು.
ಗಡಿಯಾರ
ಸಂ
ಪೈಪ್ಲೈನ್ ಬಳಕೆಗೆ ಇನ್ಪುಟ್. ಗಡಿಯಾರ ಪೋರ್ಟ್ ಪೈಪ್ಲೈನ್ಗಾಗಿ ಗಡಿಯಾರ ಇನ್ಪುಟ್ ಅನ್ನು ಒದಗಿಸುತ್ತದೆ
ಕಾರ್ಯಾಚರಣೆ. 0 (ಡೀಫಾಲ್ಟ್) ಹೊರತುಪಡಿಸಿ LPM_PIPELINE ಮೌಲ್ಯಗಳಿಗೆ, ಗಡಿಯಾರ ಪೋರ್ಟ್ ಇರಬೇಕು
ಸಕ್ರಿಯಗೊಳಿಸಲಾಗಿದೆ.
ಕ್ಲಕೆನ್
ಸಂ
ಪೈಪ್ಲೈನ್ ಬಳಕೆಗಾಗಿ ಗಡಿಯಾರ ಸಕ್ರಿಯಗೊಳಿಸಿ. ಕ್ಲಕೆನ್ ಪೋರ್ಟ್ ಅನ್ನು ಹೆಚ್ಚಿನದಾಗಿ ಪ್ರತಿಪಾದಿಸಿದಾಗ, ಆಡ್ಡರ್/
ವ್ಯವಕಲನ ಕಾರ್ಯಾಚರಣೆ ನಡೆಯುತ್ತದೆ. ಸಿಗ್ನಲ್ ಕಡಿಮೆಯಾದಾಗ, ಯಾವುದೇ ಕಾರ್ಯಾಚರಣೆಯು ಸಂಭವಿಸುವುದಿಲ್ಲ. ಒಂದು ವೇಳೆ
ಬಿಟ್ಟುಬಿಡಲಾಗಿದೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 1 ಆಗಿದೆ.
aclr
ಸಂ
ಪೈಪ್ಲೈನ್ ಬಳಕೆಗೆ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ. ಪೈಪ್ಲೈನ್ ಅನಿರ್ದಿಷ್ಟ (X) ಗೆ ಪ್ರಾರಂಭಿಸುತ್ತದೆ
ತರ್ಕ ಮಟ್ಟ. ಎಲ್ಲಾ 0s ಗೆ ಪೈಪ್ಲೈನ್ ಅನ್ನು ಮರುಹೊಂದಿಸಲು aclr ಪೋರ್ಟ್ ಅನ್ನು ಯಾವುದೇ ಸಮಯದಲ್ಲಿ ಬಳಸಬಹುದು,
ಗಡಿಯಾರದ ಸಂಕೇತಕ್ಕೆ ಅಸಮಕಾಲಿಕವಾಗಿ.
ಕೋಷ್ಟಕ 16. LPM_ADD_SUB IP ಕೋರ್ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಫಲಿತಾಂಶ[]
ಹೌದು
ಡೇಟಾ ಔಟ್ಪುಟ್. ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು LPM_WIDTH ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ
ಮೌಲ್ಯ.
ಕೌಟ್
ಸಂ
ಅತ್ಯಂತ ಮಹತ್ವದ ಬಿಟ್ (MSB) ನ ಕ್ಯಾರಿ-ಔಟ್ (ಸಾಲ-ಇನ್). ಕೌಟ್ ಪೋರ್ಟ್ ಭೌತಿಕ ಹೊಂದಿದೆ
MSB ಯ ಕ್ಯಾರಿ-ಔಟ್ (ಎರವಲು-ಇನ್) ಎಂದು ವ್ಯಾಖ್ಯಾನ. ಕೌಟ್ ಪೋರ್ಟ್ ಪತ್ತೆ ಮಾಡುತ್ತದೆ
ಸಹಿ ಮಾಡದ ಕಾರ್ಯಾಚರಣೆಗಳಲ್ಲಿ ಉಕ್ಕಿ ಹರಿಯುತ್ತದೆ. ಕೌಟ್ ಪೋರ್ಟ್ ಅದೇ ರೀತಿಯಲ್ಲಿ ಕಾರ್ಯನಿರ್ವಹಿಸುತ್ತದೆ
ಸಹಿ ಮತ್ತು ಸಹಿ ಮಾಡದ ಕಾರ್ಯಾಚರಣೆಗಳು.
ಉಕ್ಕಿ ಹರಿಯುತ್ತದೆ
ಸಂ
ಐಚ್ಛಿಕ ಓವರ್ಫ್ಲೋ ವಿನಾಯಿತಿ ಔಟ್ಪುಟ್. ಓವರ್ಫ್ಲೋ ಪೋರ್ಟ್ ಭೌತಿಕ ವ್ಯಾಖ್ಯಾನವನ್ನು ಹೊಂದಿದೆ
MSB ಯ ಕ್ಯಾರಿ-ಔಟ್ನೊಂದಿಗೆ MSB ಗೆ ಕ್ಯಾರಿ-ಇನ್ನ XOR. ಓವರ್ಫ್ಲೋ ಪೋರ್ಟ್
ಫಲಿತಾಂಶಗಳು ಲಭ್ಯವಿರುವ ನಿಖರತೆಯನ್ನು ಮೀರಿದಾಗ ಪ್ರತಿಪಾದಿಸುತ್ತದೆ ಮತ್ತು ಯಾವಾಗ ಮಾತ್ರ ಬಳಸಲಾಗುತ್ತದೆ
LPM_REPRESENTATION ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಸಹಿ ಮಾಡಲಾಗಿದೆ.
5.6. ನಿಯತಾಂಕಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕವು LPM_ADD_SUB IP ಕೋರ್ ನಿಯತಾಂಕಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ.
ಕೋಷ್ಟಕ 17. LPM_ADD_SUB IP ಕೋರ್ ನಿಯತಾಂಕಗಳು
ಪ್ಯಾರಾಮೀಟರ್ ಹೆಸರು LPM_WIDTH
ಪೂರ್ಣಾಂಕವನ್ನು ಟೈಪ್ ಮಾಡಿ
ಅಗತ್ಯವಿದೆ ಹೌದು
ವಿವರಣೆ
ಡೇಟಾ[], ಡೇಟಾಬ್[], ಮತ್ತು ಫಲಿತಾಂಶ[] ಪೋರ್ಟ್ಗಳ ಅಗಲಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
LPM_DIRECTION
ಸ್ಟ್ರಿಂಗ್
ಸಂ
ಮೌಲ್ಯಗಳು ADD, SUB ಮತ್ತು ಬಳಕೆಯಾಗದವು. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು DEFAULT ಆಗಿರುತ್ತದೆ, ಇದು add_sub ಪೋರ್ಟ್ನಿಂದ ಅದರ ಮೌಲ್ಯವನ್ನು ತೆಗೆದುಕೊಳ್ಳಲು ನಿಯತಾಂಕವನ್ನು ನಿರ್ದೇಶಿಸುತ್ತದೆ. LPM_DIRECTION ಅನ್ನು ಬಳಸಿದರೆ add_sub ಪೋರ್ಟ್ ಅನ್ನು ಬಳಸಲಾಗುವುದಿಲ್ಲ. LPM_ADD_SUB ಕಾರ್ಯದ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ನೀವು LPM_DIRECTION ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಬಳಸಬೇಕೆಂದು Intel ಶಿಫಾರಸು ಮಾಡುತ್ತದೆ, ಬದಲಿಗೆ add_sub ಪೋರ್ಟ್ಗೆ ಸ್ಥಿರವನ್ನು ನಿಯೋಜಿಸುತ್ತದೆ.
ಮುಂದುವರೆಯಿತು…
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 24
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
5. LPM_ADD_SUB (ಸೇರ್ಪಡೆ/ವ್ಯವಕಲನಕಾರ) 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್ ಹೆಸರು LPM_REPRESENTATION LPM_PIPELINE LPM_HINT LPM_TYPE ONE_INPUT_IS_CONSTANT MAXIMIZE_SPEED
INTENDED_DEVICE_FAMILY
ಸ್ಟ್ರಿಂಗ್ ಪೂರ್ಣಾಂಕ ಸ್ಟ್ರಿಂಗ್ ಸ್ಟ್ರಿಂಗ್ ಸ್ಟ್ರಿಂಗ್ ಪೂರ್ಣಾಂಕವನ್ನು ಟೈಪ್ ಮಾಡಿ
ಸ್ಟ್ರಿಂಗ್
ಅಗತ್ಯವಿದೆ ಇಲ್ಲ ಇಲ್ಲ ಇಲ್ಲ ಇಲ್ಲ ಇಲ್ಲ ಇಲ್ಲ
ಸಂ
ವಿವರಣೆ
ನಿರ್ವಹಿಸಿದ ಸೇರ್ಪಡೆಯ ಪ್ರಕಾರವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಮೌಲ್ಯಗಳನ್ನು ಸಹಿ ಮಾಡಲಾಗಿದೆ ಮತ್ತು ಸಹಿ ಮಾಡಲಾಗಿಲ್ಲ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವನ್ನು ಸಹಿ ಮಾಡಲಾಗಿದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಹಿ ಎಂದು ಹೊಂದಿಸಿದಾಗ, ಆಡರ್/ವ್ಯವಕಲನಕಾರವು ಡೇಟಾ ಇನ್ಪುಟ್ ಅನ್ನು ಸಹಿ ಮಾಡಿದ ಎರಡು ಪೂರಕ ಎಂದು ಅರ್ಥೈಸುತ್ತದೆ.
ಫಲಿತಾಂಶ[] ಔಟ್ಪುಟ್ಗೆ ಸಂಬಂಧಿಸಿದ ಲೇಟೆನ್ಸಿ ಗಡಿಯಾರ ಚಕ್ರಗಳ ಸಂಖ್ಯೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಶೂನ್ಯ (0) ಮೌಲ್ಯವು ಯಾವುದೇ ಸುಪ್ತತೆ ಅಸ್ತಿತ್ವದಲ್ಲಿಲ್ಲ ಮತ್ತು ಸಂಪೂರ್ಣವಾಗಿ ಸಂಯೋಜಿತ ಕಾರ್ಯವನ್ನು ತ್ವರಿತಗೊಳಿಸಲಾಗುತ್ತದೆ ಎಂದು ಸೂಚಿಸುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 0 ಆಗಿದೆ (ಪೈಪ್ಲೈನ್ ಅಲ್ಲ).
VHDL ವಿನ್ಯಾಸದಲ್ಲಿ ಇಂಟೆಲ್-ನಿರ್ದಿಷ್ಟ ನಿಯತಾಂಕಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ನಿಮಗೆ ಅನುಮತಿಸುತ್ತದೆ files (.vhd). ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು ಬಳಕೆಯಾಗಿಲ್ಲ.
VHDL ವಿನ್ಯಾಸದಲ್ಲಿ ಪ್ಯಾರಾಮೀಟರ್ ಮಾಡ್ಯೂಲ್ಗಳ (LPM) ಘಟಕದ ಹೆಸರಿನ ಲೈಬ್ರರಿಯನ್ನು ಗುರುತಿಸುತ್ತದೆ files.
ಇಂಟೆಲ್-ನಿರ್ದಿಷ್ಟ ನಿಯತಾಂಕ. VHDL ವಿನ್ಯಾಸದಲ್ಲಿ ONE_INPUT_IS_CONSTANT ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ನೀವು LPM_HINT ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಬಳಸಬೇಕು fileರು. ಮೌಲ್ಯಗಳು ಹೌದು, ಇಲ್ಲ ಮತ್ತು ಬಳಕೆಯಾಗಿಲ್ಲ. ಒಂದು ಇನ್ಪುಟ್ ಸ್ಥಿರವಾಗಿದ್ದರೆ ಹೆಚ್ಚಿನ ಆಪ್ಟಿಮೈಸೇಶನ್ ಅನ್ನು ಒದಗಿಸುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು NO ಆಗಿದೆ.
ಇಂಟೆಲ್-ನಿರ್ದಿಷ್ಟ ನಿಯತಾಂಕ. VHDL ವಿನ್ಯಾಸದಲ್ಲಿ MAXIMIZE_SPEED ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ನೀವು LPM_HINT ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಬಳಸಬೇಕು fileರು. ನೀವು 0 ಮತ್ತು 10 ರ ನಡುವಿನ ಮೌಲ್ಯವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಬಹುದು. ಬಳಸಿದರೆ, ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್ವೇರ್ LPM_ADD_SUB ಫಂಕ್ಷನ್ನ ನಿರ್ದಿಷ್ಟ ನಿದರ್ಶನವನ್ನು ರೂಟಬಿಲಿಟಿಗಿಂತ ವೇಗಕ್ಕಾಗಿ ಆಪ್ಟಿಮೈಜ್ ಮಾಡಲು ಪ್ರಯತ್ನಿಸುತ್ತದೆ ಮತ್ತು ಆಪ್ಟಿಮೈಸೇಶನ್ ಟೆಕ್ನಿಕ್ ಲಾಜಿಕ್ ಆಯ್ಕೆಯ ಸೆಟ್ಟಿಂಗ್ ಅನ್ನು ಅತಿಕ್ರಮಿಸುತ್ತದೆ. MAXIMIZE_SPEED ಬಳಕೆಯಾಗದಿದ್ದಲ್ಲಿ, ಆಪ್ಟಿಮೈಸೇಶನ್ ಟೆಕ್ನಿಕ್ ಆಯ್ಕೆಯ ಮೌಲ್ಯವನ್ನು ಬದಲಿಗೆ ಬಳಸಲಾಗುತ್ತದೆ. MAXIMIZE_SPEED ಗಾಗಿ ಸೆಟ್ಟಿಂಗ್ 6 ಅಥವಾ ಹೆಚ್ಚಿನದಾಗಿದ್ದರೆ, ಕ್ಯಾರಿ ಚೈನ್ಗಳನ್ನು ಬಳಸಿಕೊಂಡು ಹೆಚ್ಚಿನ ವೇಗಕ್ಕಾಗಿ ಕಂಪೈಲರ್ LPM_ADD_SUB IP ಕೋರ್ ಅನ್ನು ಆಪ್ಟಿಮೈಸ್ ಮಾಡುತ್ತದೆ; ಸೆಟ್ಟಿಂಗ್ 5 ಅಥವಾ ಅದಕ್ಕಿಂತ ಕಡಿಮೆ ಇದ್ದರೆ, ಕಂಪೈಲರ್ ಕ್ಯಾರಿ ಚೈನ್ಗಳಿಲ್ಲದೆ ವಿನ್ಯಾಸವನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸುತ್ತದೆ. add_sub ಪೋರ್ಟ್ ಅನ್ನು ಬಳಸದಿದ್ದಾಗ ಮಾತ್ರ ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು Cyclone, Stratix ಮತ್ತು Stratix GX ಸಾಧನಗಳಿಗೆ ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕು.
ಈ ನಿಯತಾಂಕವನ್ನು ಮಾಡೆಲಿಂಗ್ ಮತ್ತು ವರ್ತನೆಯ ಸಿಮ್ಯುಲೇಶನ್ ಉದ್ದೇಶಗಳಿಗಾಗಿ ಬಳಸಲಾಗುತ್ತದೆ. ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಈ ನಿಯತಾಂಕದ ಮೌಲ್ಯವನ್ನು ಲೆಕ್ಕಾಚಾರ ಮಾಡುತ್ತದೆ.
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 25
683490 | 2020.10.05 ಪ್ರತಿಕ್ರಿಯೆ ಕಳುಹಿಸಿ
6. LPM_COMPARE (comparator)
ಚಿತ್ರ 5.
LPM_COMPARE IP ಕೋರ್ ಅವುಗಳ ನಡುವಿನ ಸಂಬಂಧವನ್ನು ನಿರ್ಧರಿಸಲು ಡೇಟಾದ ಎರಡು ಸೆಟ್ಗಳ ಮೌಲ್ಯವನ್ನು ಹೋಲಿಸುತ್ತದೆ. ಅದರ ಸರಳ ರೂಪದಲ್ಲಿ, ಎರಡು ಬಿಟ್ ಡೇಟಾ ಸಮಾನವಾಗಿದೆಯೇ ಎಂಬುದನ್ನು ನಿರ್ಧರಿಸಲು ನೀವು ವಿಶೇಷ-ಅಥವಾ ಗೇಟ್ ಅನ್ನು ಬಳಸಬಹುದು.
ಕೆಳಗಿನ ಚಿತ್ರವು LPM_COMPARE IP ಕೋರ್ಗಾಗಿ ಪೋರ್ಟ್ಗಳನ್ನು ತೋರಿಸುತ್ತದೆ.
LPM_COMPARE ಪೋರ್ಟ್ಗಳು
LPM_COMPARE
ಕ್ಲಕೆನ್
alb
aeb
ಡೇಟಾ[]
agb
ಡೇಟಾಬ್[]
ವಯಸ್ಸು
ಗಡಿಯಾರ
ಅನೆಬ್
aclr
ಅಲೆಬ್
inst
6.1. ವೈಶಿಷ್ಟ್ಯಗಳು
LPM_COMPARE IP ಕೋರ್ ಈ ಕೆಳಗಿನ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ನೀಡುತ್ತದೆ: · ಎರಡು ಸೆಟ್ ಡೇಟಾಗಳನ್ನು ಹೋಲಿಸಲು ಹೋಲಿಕೆ ಕಾರ್ಯವನ್ನು ರಚಿಸುತ್ತದೆ · 1 ಬಿಟ್ಗಳ ಡೇಟಾ ಅಗಲವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಸಹಿ ಮತ್ತು ಸಹಿ ಮಾಡದಂತಹ ಡೇಟಾ ಪ್ರಾತಿನಿಧ್ಯ ಸ್ವರೂಪವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಕೆಳಗಿನ ಔಟ್ಪುಟ್ ಪ್ರಕಾರಗಳನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ:
— alb (ಇನ್ಪುಟ್ A ಇನ್ಪುಟ್ B ಗಿಂತ ಕಡಿಮೆಯಾಗಿದೆ) — aeb (ಇನ್ಪುಟ್ A ಇನ್ಪುಟ್ B ಗೆ ಸಮಾನವಾಗಿದೆ) — agb (ಇನ್ಪುಟ್ A ಇನ್ಪುಟ್ B ಗಿಂತ ಹೆಚ್ಚಾಗಿರುತ್ತದೆ) — ageb (ಇನ್ಪುಟ್ A ಇನ್ಪುಟ್ B ಗಿಂತ ಹೆಚ್ಚಾಗಿರುತ್ತದೆ ಅಥವಾ ಸಮನಾಗಿರುತ್ತದೆ) — aneb ( ಇನ್ಪುಟ್ A ಇನ್ಪುಟ್ B ಗೆ ಸಮಾನವಾಗಿಲ್ಲ) — aleb (ಇನ್ಪುಟ್ A ಇನ್ಪುಟ್ B ಗಿಂತ ಕಡಿಮೆ ಅಥವಾ ಸಮಾನವಾಗಿರುತ್ತದೆ) · ಐಚ್ಛಿಕ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮತ್ತು ಗಡಿಯಾರವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಡೇಟಾಬ್[] ಇನ್ಪುಟ್ ಅನ್ನು ಸ್ಥಿರಕ್ಕೆ ನಿಯೋಜಿಸುತ್ತದೆ · ಕಾನ್ಫಿಗರ್ ಮಾಡಬಹುದಾದ ಔಟ್ಪುಟ್ ಲೇಟೆನ್ಸಿಯೊಂದಿಗೆ ಪೈಪ್ಲೈನ್ ಅನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ
ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.
ISO 9001:2015 ನೋಂದಾಯಿಸಲಾಗಿದೆ
6. LPM_COMPARE (comparator) 683490 | 2020.10.05
6.2 ವೆರಿಲೋಗ್ HDL ಮಾದರಿ
ಕೆಳಗಿನ ವೆರಿಲಾಗ್ HDL ಮೂಲಮಾದರಿಯು ವೆರಿಲಾಗ್ ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.v) lpm.v ರಲ್ಲಿ ಎಡಾಸಿಂಥೆಸಿಸ್ ಡೈರೆಕ್ಟರಿ.
ಮಾಡ್ಯೂಲ್ lpm_compare ( alb, aeb, agb, aleb, aneb, ageb, dataa, datab, clock, clken, aclr ); ಪ್ಯಾರಾಮೀಟರ್ lpm_type = "lpm_compare"; ಪ್ಯಾರಾಮೀಟರ್ lpm_width = 1; ಪ್ಯಾರಾಮೀಟರ್ lpm_representation = "UNSIGNED"; ಪ್ಯಾರಾಮೀಟರ್ lpm_pipeline = 0; ಪ್ಯಾರಾಮೀಟರ್ lpm_hint = "UNUSED"; ಇನ್ಪುಟ್ [lpm_width-1:0] ಡೇಟಾ, ಡೇಟಾಬ್; ಇನ್ಪುಟ್ ಗಡಿಯಾರ; ಇನ್ಪುಟ್ ಕ್ಲಕೆನ್; ಇನ್ಪುಟ್ aclr; ಔಟ್ಪುಟ್ alb, aeb, agb, aleb, aneb, Ageb; ಎಂಡ್ ಮಾಡ್ಯೂಲ್
6.3 VHDL ಘಟಕ ಘೋಷಣೆ
VHDL ಘಟಕ ಘೋಷಣೆಯು VHDL ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.vhd) LPM_PACK.vhd ರಲ್ಲಿ ಗ್ರಂಥಾಲಯಗಳುvhdllpm ಡೈರೆಕ್ಟರಿ.
ಘಟಕ LPM_COMPARE ಜೆನೆರಿಕ್ (LPM_WIDTH : ನೈಸರ್ಗಿಕ;
LPM_REPRESENTATION : ಸ್ಟ್ರಿಂಗ್ := “ಅನ್ಸೈನ್ಡ್”; LPM_PIPELINE : ನೈಸರ್ಗಿಕ := 0; LPM_TYPE: ಸ್ಟ್ರಿಂಗ್ := L_COMPARE; LPM_HINT : ಸ್ಟ್ರಿಂಗ್ := “Used”); ಪೋರ್ಟ್ (DATAA : std_logic_vector (LPM_WIDTH-1 ಡೌನ್ಟು 0); DATAB: std_logic_vector (LPM_WIDTH-1 ಡೌನ್ಟು 0); ACLR: std_logic := '0'; CLOCK : std_logic := '0' ರಲ್ಲಿ := '1'; ಔಟ್ std_logic: out std_logic ಅಂತಿಮ ಘಟಕ;
6.4 VHDL LIBRARY_USE ಘೋಷಣೆ
ನೀವು VHDL ಕಾಂಪೊನೆಂಟ್ ಘೋಷಣೆಯನ್ನು ಬಳಸಿದರೆ VHDL ಲೈಬ್ರರಿ-ಬಳಕೆಯ ಘೋಷಣೆಯ ಅಗತ್ಯವಿಲ್ಲ.
ಲೈಬ್ರರಿ lpm; lpm.lpm_components.all ಅನ್ನು ಬಳಸಿ;
6.5. ಬಂದರುಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕಗಳು LMP_COMPARE IP ಕೋರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತವೆ.
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 27
6. LPM_COMPARE (comparator) 683490 | 2020.10.05
ಕೋಷ್ಟಕ 18. LPM_COMPARE IP ಕೋರ್ ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಡೇಟಾ[]
ಹೌದು
ಡೇಟಾ ಇನ್ಪುಟ್. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು LPM_WIDTH ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ಡೇಟಾಬ್[]
ಹೌದು
ಡೇಟಾ ಇನ್ಪುಟ್. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು LPM_WIDTH ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ಗಡಿಯಾರ
ಸಂ
ಪೈಪ್ಲೈನ್ ಬಳಕೆಗಾಗಿ ಗಡಿಯಾರ ಇನ್ಪುಟ್. ಗಡಿಯಾರ ಪೋರ್ಟ್ ಪೈಪ್ಲೈನ್ಗೆ ಗಡಿಯಾರದ ಇನ್ಪುಟ್ ಅನ್ನು ಒದಗಿಸುತ್ತದೆ
ಕಾರ್ಯಾಚರಣೆ. 0 (ಡೀಫಾಲ್ಟ್) ಹೊರತುಪಡಿಸಿ LPM_PIPELINE ಮೌಲ್ಯಗಳಿಗೆ, ಗಡಿಯಾರ ಪೋರ್ಟ್ ಇರಬೇಕು
ಸಕ್ರಿಯಗೊಳಿಸಲಾಗಿದೆ.
ಕ್ಲಕೆನ್
ಸಂ
ಪೈಪ್ಲೈನ್ ಬಳಕೆಗಾಗಿ ಗಡಿಯಾರ ಸಕ್ರಿಯಗೊಳಿಸಿ. ಕ್ಲಕೆನ್ ಪೋರ್ಟ್ ಅನ್ನು ಹೆಚ್ಚು ಪ್ರತಿಪಾದಿಸಿದಾಗ, ದಿ
ಹೋಲಿಕೆ ಕಾರ್ಯಾಚರಣೆ ನಡೆಯುತ್ತದೆ. ಸಿಗ್ನಲ್ ಕಡಿಮೆಯಾದಾಗ, ಯಾವುದೇ ಕಾರ್ಯಾಚರಣೆಯು ಸಂಭವಿಸುವುದಿಲ್ಲ. ಒಂದು ವೇಳೆ
ಬಿಟ್ಟುಬಿಡಲಾಗಿದೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 1 ಆಗಿದೆ.
aclr
ಸಂ
ಪೈಪ್ಲೈನ್ ಬಳಕೆಗೆ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ. ಪೈಪ್ಲೈನ್ ವ್ಯಾಖ್ಯಾನಿಸದ (X) ತರ್ಕಕ್ಕೆ ಪ್ರಾರಂಭಿಸುತ್ತದೆ
ಮಟ್ಟದ. ಎಲ್ಲಾ 0s ಗೆ ಪೈಪ್ಲೈನ್ ಅನ್ನು ಮರುಹೊಂದಿಸಲು aclr ಪೋರ್ಟ್ ಅನ್ನು ಯಾವುದೇ ಸಮಯದಲ್ಲಿ ಬಳಸಬಹುದು,
ಗಡಿಯಾರದ ಸಂಕೇತಕ್ಕೆ ಅಸಮಕಾಲಿಕವಾಗಿ.
ಕೋಷ್ಟಕ 19. LPM_COMPARE IP ಕೋರ್ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
alb
ಸಂ
ಹೋಲಿಕೆದಾರರಿಗೆ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್. ಇನ್ಪುಟ್ A ಇನ್ಪುಟ್ B ಗಿಂತ ಕಡಿಮೆಯಿದ್ದರೆ ಪ್ರತಿಪಾದಿಸಲಾಗುತ್ತದೆ.
aeb
ಸಂ
ಹೋಲಿಕೆದಾರರಿಗೆ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್. ಇನ್ಪುಟ್ A ಇನ್ಪುಟ್ B ಗೆ ಸಮವಾಗಿದ್ದರೆ ಪ್ರತಿಪಾದಿಸಲಾಗಿದೆ.
agb
ಸಂ
ಹೋಲಿಕೆದಾರರಿಗೆ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್. ಇನ್ಪುಟ್ A ಇನ್ಪುಟ್ B ಗಿಂತ ಹೆಚ್ಚಿದ್ದರೆ ಪ್ರತಿಪಾದಿಸಲಾಗಿದೆ.
ವಯಸ್ಸು
ಸಂ
ಹೋಲಿಕೆದಾರರಿಗೆ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್. ಇನ್ಪುಟ್ A ಇನ್ಪುಟ್ಗಿಂತ ಹೆಚ್ಚಿದ್ದರೆ ಅಥವಾ ಸಮಾನವಾಗಿದ್ದರೆ ಪ್ರತಿಪಾದಿಸಲಾಗಿದೆ
B.
ಅನೆಬ್
ಸಂ
ಹೋಲಿಕೆದಾರರಿಗೆ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್. ಇನ್ಪುಟ್ A ಇನ್ಪುಟ್ B ಗೆ ಸಮಾನವಾಗಿಲ್ಲದಿದ್ದರೆ ಪ್ರತಿಪಾದಿಸಲಾಗಿದೆ.
ಅಲೆಬ್
ಸಂ
ಹೋಲಿಕೆದಾರರಿಗೆ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್. ಇನ್ಪುಟ್ A ಇನ್ಪುಟ್ B ಗಿಂತ ಕಡಿಮೆ ಅಥವಾ ಸಮಾನವಾಗಿದ್ದರೆ ಪ್ರತಿಪಾದಿಸಲಾಗಿದೆ.
6.6. ನಿಯತಾಂಕಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕವು LPM_COMPARE IP ಕೋರ್ಗಾಗಿ ನಿಯತಾಂಕಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ.
ಕೋಷ್ಟಕ 20. LPM_COMPARE IP ಕೋರ್ ನಿಯತಾಂಕಗಳು
ಪ್ಯಾರಾಮೀಟರ್ ಹೆಸರು
ಟೈಪ್ ಮಾಡಿ
ಅಗತ್ಯವಿದೆ
LPM_WIDTH
ಪೂರ್ಣಾಂಕ ಹೌದು
LPM_REPRESENTATION
ಸ್ಟ್ರಿಂಗ್
ಸಂ
LPM_PIPELINE
ಪೂರ್ಣಾಂಕ ಸಂಖ್ಯೆ
LPM_HINT
ಸ್ಟ್ರಿಂಗ್
ಸಂ
ವಿವರಣೆ
ಡೇಟಾ[] ಮತ್ತು ಡೇಟಾಬ್[] ಪೋರ್ಟ್ಗಳ ಅಗಲಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ನಿರ್ವಹಿಸಿದ ಹೋಲಿಕೆಯ ಪ್ರಕಾರವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಮೌಲ್ಯಗಳನ್ನು ಸಹಿ ಮಾಡಲಾಗಿದೆ ಮತ್ತು ಸಹಿ ಮಾಡಲಾಗಿಲ್ಲ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು ಅನ್ಸೈನ್ಡ್ ಆಗಿರುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಸಹಿ ಎಂದು ಹೊಂದಿಸಿದಾಗ, ಹೋಲಿಕೆದಾರರು ಡೇಟಾ ಇನ್ಪುಟ್ ಅನ್ನು ಸಹಿ ಮಾಡಿದ ಎರಡು ಪೂರಕ ಎಂದು ಅರ್ಥೈಸುತ್ತಾರೆ.
alb, aeb, agb, ageb, aleb, ಅಥವಾ aneb ಔಟ್ಪುಟ್ಗೆ ಸಂಬಂಧಿಸಿದ ಲೇಟೆನ್ಸಿಯ ಗಡಿಯಾರದ ಚಕ್ರಗಳ ಸಂಖ್ಯೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಶೂನ್ಯ (0) ಮೌಲ್ಯವು ಯಾವುದೇ ಸುಪ್ತತೆ ಅಸ್ತಿತ್ವದಲ್ಲಿಲ್ಲ ಮತ್ತು ಸಂಪೂರ್ಣವಾಗಿ ಸಂಯೋಜಿತ ಕಾರ್ಯವನ್ನು ತ್ವರಿತಗೊಳಿಸಲಾಗುತ್ತದೆ ಎಂದು ಸೂಚಿಸುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 0 ಆಗಿದೆ (ಪೈಪ್ಲೈನ್ ಮಾಡದ).
VHDL ವಿನ್ಯಾಸದಲ್ಲಿ ಇಂಟೆಲ್-ನಿರ್ದಿಷ್ಟ ನಿಯತಾಂಕಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ನಿಮಗೆ ಅನುಮತಿಸುತ್ತದೆ files (.vhd). ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು ಬಳಕೆಯಾಗಿಲ್ಲ.
ಮುಂದುವರೆಯಿತು…
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 28
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
6. LPM_COMPARE (comparator) 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್ ಹೆಸರು LPM_TYPE INTENDED_DEVICE_FAMILY
ONE_INPUT_IS_CONSTANT
ಸ್ಟ್ರಿಂಗ್ ಸ್ಟ್ರಿಂಗ್ ಅನ್ನು ಟೈಪ್ ಮಾಡಿ
ಸ್ಟ್ರಿಂಗ್
ಅಗತ್ಯ ಸಂಖ್ಯೆ ಇಲ್ಲ
ಸಂ
ವಿವರಣೆ
VHDL ವಿನ್ಯಾಸದಲ್ಲಿ ಪ್ಯಾರಾಮೀಟರ್ ಮಾಡ್ಯೂಲ್ಗಳ (LPM) ಘಟಕದ ಹೆಸರಿನ ಲೈಬ್ರರಿಯನ್ನು ಗುರುತಿಸುತ್ತದೆ files.
ಈ ನಿಯತಾಂಕವನ್ನು ಮಾಡೆಲಿಂಗ್ ಮತ್ತು ವರ್ತನೆಯ ಸಿಮ್ಯುಲೇಶನ್ ಉದ್ದೇಶಗಳಿಗಾಗಿ ಬಳಸಲಾಗುತ್ತದೆ. ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಈ ನಿಯತಾಂಕದ ಮೌಲ್ಯವನ್ನು ಲೆಕ್ಕಾಚಾರ ಮಾಡುತ್ತದೆ.
ಇಂಟೆಲ್-ನಿರ್ದಿಷ್ಟ ನಿಯತಾಂಕ. VHDL ವಿನ್ಯಾಸದಲ್ಲಿ ONE_INPUT_IS_CONSTANT ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ನೀವು LPM_HINT ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಬಳಸಬೇಕು fileರು. ಮೌಲ್ಯಗಳು ಹೌದು, ಇಲ್ಲ ಅಥವಾ ಬಳಕೆಯಾಗಿಲ್ಲ. ಇನ್ಪುಟ್ ಸ್ಥಿರವಾಗಿದ್ದರೆ ಹೆಚ್ಚಿನ ಆಪ್ಟಿಮೈಸೇಶನ್ ಅನ್ನು ಒದಗಿಸುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು NO ಆಗಿದೆ.
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 29
683490 | 2020.10.05 ಪ್ರತಿಕ್ರಿಯೆ ಕಳುಹಿಸಿ
7. ALTECC (ದೋಷ ತಿದ್ದುಪಡಿ ಕೋಡ್: ಎನ್ಕೋಡರ್/ಡಿಕೋಡರ್) IP ಕೋರ್
ಚಿತ್ರ 6.
ECC ಕಾರ್ಯವನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸಲು Intel ALTECC IP ಕೋರ್ ಅನ್ನು ಒದಗಿಸುತ್ತದೆ. ಡೇಟಾ ಪ್ರಸರಣ ಸಮಯದಲ್ಲಿ ರಿಸೀವರ್ ಭಾಗದಲ್ಲಿ ಸಂಭವಿಸುವ ಭ್ರಷ್ಟ ಡೇಟಾವನ್ನು ECC ಪತ್ತೆ ಮಾಡುತ್ತದೆ. ಈ ದೋಷ ತಿದ್ದುಪಡಿ ವಿಧಾನವು ಸ್ಫೋಟಗಳ ಬದಲಿಗೆ ಯಾದೃಚ್ಛಿಕವಾಗಿ ಸಂಭವಿಸುವ ಸಂದರ್ಭಗಳಿಗೆ ಸೂಕ್ತವಾಗಿರುತ್ತದೆ.
ಡೇಟಾ ಎನ್ಕೋಡಿಂಗ್ ಮತ್ತು ಡಿಕೋಡಿಂಗ್ ಪ್ರಕ್ರಿಯೆಯ ಮೂಲಕ ECC ದೋಷಗಳನ್ನು ಪತ್ತೆ ಮಾಡುತ್ತದೆ. ಉದಾಹರಣೆಗೆample, ECC ಅನ್ನು ಪ್ರಸರಣ ಅಪ್ಲಿಕೇಶನ್ನಲ್ಲಿ ಅನ್ವಯಿಸಿದಾಗ, ರಿಸೀವರ್ಗೆ ಕಳುಹಿಸುವ ಮೊದಲು ಮೂಲದಿಂದ ಓದಲಾದ ಡೇಟಾವನ್ನು ಎನ್ಕೋಡ್ ಮಾಡಲಾಗುತ್ತದೆ. ಎನ್ಕೋಡರ್ನಿಂದ ಔಟ್ಪುಟ್ (ಕೋಡ್ ವರ್ಡ್) ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳ ಸಂಖ್ಯೆಯೊಂದಿಗೆ ಸೇರಿಸಲಾದ ಕಚ್ಚಾ ಡೇಟಾವನ್ನು ಒಳಗೊಂಡಿರುತ್ತದೆ. ಲಗತ್ತಿಸಲಾದ ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳ ನಿಖರವಾದ ಸಂಖ್ಯೆಯು ಇನ್ಪುಟ್ ಡೇಟಾದಲ್ಲಿನ ಬಿಟ್ಗಳ ಸಂಖ್ಯೆಯನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ. ರಚಿಸಿದ ಕೋಡ್ ಪದವನ್ನು ನಂತರ ಗಮ್ಯಸ್ಥಾನಕ್ಕೆ ರವಾನಿಸಲಾಗುತ್ತದೆ.
ಸ್ವೀಕರಿಸುವವರು ಕೋಡ್ ಪದವನ್ನು ಸ್ವೀಕರಿಸುತ್ತಾರೆ ಮತ್ತು ಅದನ್ನು ಡಿಕೋಡ್ ಮಾಡುತ್ತಾರೆ. ಡಿಕೋಡರ್ ಪಡೆದ ಮಾಹಿತಿಯು ದೋಷವನ್ನು ಪತ್ತೆಹಚ್ಚಲಾಗಿದೆಯೇ ಎಂದು ನಿರ್ಧರಿಸುತ್ತದೆ. ಡಿಕೋಡರ್ ಸಿಂಗಲ್-ಬಿಟ್ ಮತ್ತು ಡಬಲ್-ಬಿಟ್ ದೋಷಗಳನ್ನು ಪತ್ತೆ ಮಾಡುತ್ತದೆ, ಆದರೆ ದೋಷಪೂರಿತ ಡೇಟಾದಲ್ಲಿ ಸಿಂಗಲ್-ಬಿಟ್ ದೋಷಗಳನ್ನು ಮಾತ್ರ ಸರಿಪಡಿಸಬಹುದು. ಈ ರೀತಿಯ ECC ಏಕ ದೋಷ ತಿದ್ದುಪಡಿ ಡಬಲ್ ದೋಷ ಪತ್ತೆ (SECDED).
ನೀವು ALTECC IP ಕೋರ್ನ ಎನ್ಕೋಡರ್ ಮತ್ತು ಡಿಕೋಡರ್ ಕಾರ್ಯಗಳನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಬಹುದು. ಎನ್ಕೋಡರ್ಗೆ ಡೇಟಾ ಇನ್ಪುಟ್ ಅನ್ನು ಕೋಡ್ ಪದವನ್ನು ರಚಿಸಲು ಎನ್ಕೋಡ್ ಮಾಡಲಾಗಿದೆ ಅದು ಡೇಟಾ ಇನ್ಪುಟ್ ಮತ್ತು ರಚಿತವಾದ ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳ ಸಂಯೋಜನೆಯಾಗಿದೆ. ರಚಿತವಾದ ಕೋಡ್ ಪದವು ಅದರ ಗಮ್ಯಸ್ಥಾನ ಬ್ಲಾಕ್ ಅನ್ನು ತಲುಪುವ ಮೊದಲು ಡಿಕೋಡಿಂಗ್ ಮಾಡಲು ಡಿಕೋಡರ್ ಮಾಡ್ಯೂಲ್ಗೆ ರವಾನೆಯಾಗುತ್ತದೆ. ಸ್ವೀಕರಿಸಿದ ಕೋಡ್ ಪದದಲ್ಲಿ ಯಾವುದೇ ದೋಷವಿದೆಯೇ ಎಂದು ನಿರ್ಧರಿಸಲು ಡಿಕೋಡರ್ ಸಿಂಡ್ರೋಮ್ ವೆಕ್ಟರ್ ಅನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ. ಏಕ-ಬಿಟ್ ದೋಷವು ಡೇಟಾ ಬಿಟ್ಗಳಿಂದ ಆಗಿದ್ದರೆ ಮಾತ್ರ ಡಿಕೋಡರ್ ಡೇಟಾವನ್ನು ಸರಿಪಡಿಸುತ್ತದೆ. ಏಕ-ಬಿಟ್ ದೋಷವು ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳಿಂದ ಆಗಿದ್ದರೆ ಯಾವುದೇ ಸಿಗ್ನಲ್ ಅನ್ನು ಫ್ಲ್ಯಾಗ್ ಮಾಡಲಾಗುವುದಿಲ್ಲ. ಡೀಕೋಡರ್ ಸ್ವೀಕರಿಸಿದ ಡೇಟಾದ ಸ್ಥಿತಿ ಮತ್ತು ಡಿಕೋಡರ್ ತೆಗೆದುಕೊಂಡ ಕ್ರಮವನ್ನು ತೋರಿಸಲು ಫ್ಲ್ಯಾಗ್ ಸಿಗ್ನಲ್ಗಳನ್ನು ಸಹ ಹೊಂದಿದೆ.
ಕೆಳಗಿನ ಅಂಕಿಅಂಶಗಳು ALTECC IP ಕೋರ್ಗಾಗಿ ಪೋರ್ಟ್ಗಳನ್ನು ತೋರಿಸುತ್ತವೆ.
ALTECC ಎನ್ಕೋಡರ್ ಪೋರ್ಟ್ಗಳು
ALTECC_ENCODER
ಡೇಟಾ[]
q[]
ಗಡಿಯಾರ
ಗಡಿಯಾರ
aclr
inst
ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.
ISO 9001:2015 ನೋಂದಾಯಿಸಲಾಗಿದೆ
7. ALTECC (ದೋಷ ತಿದ್ದುಪಡಿ ಕೋಡ್: ಎನ್ಕೋಡರ್/ಡಿಕೋಡರ್) IP ಕೋರ್ 683490 | 2020.10.05
ಚಿತ್ರ 7. ALTECC ಡಿಕೋಡರ್ ಪೋರ್ಟ್ಗಳು
ALTECC_DECODER
ಡೇಟಾ[] ಗಡಿಯಾರ ಗಡಿಯಾರ
q[] err_detected err_corrected
ತಪ್ಪು_ಮಾರಣಾಂತಿಕ
aclr
inst
7.1. ALTECC ಎನ್ಕೋಡರ್ ವೈಶಿಷ್ಟ್ಯಗಳು
ALTECC ಎನ್ಕೋಡರ್ IP ಕೋರ್ ಈ ಕೆಳಗಿನ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ನೀಡುತ್ತದೆ: · ಹ್ಯಾಮಿಂಗ್ ಕೋಡಿಂಗ್ ಸ್ಕೀಮ್ ಅನ್ನು ಬಳಸಿಕೊಂಡು ಡೇಟಾ ಎನ್ಕೋಡಿಂಗ್ ಅನ್ನು ನಿರ್ವಹಿಸುತ್ತದೆ · 2 ಬಿಟ್ಗಳ ಡೇಟಾ ಅಗಲವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಸಹಿ ಮತ್ತು ಸಹಿ ಮಾಡದ ಡೇಟಾ ಪ್ರಾತಿನಿಧ್ಯವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮತ್ತು ಗಡಿಯಾರವು ಪೋರ್ಟ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ
ALTECC ಎನ್ಕೋಡರ್ IP ಕೋರ್ ಹ್ಯಾಮಿಂಗ್ ಕೋಡಿಂಗ್ ಸ್ಕೀಮ್ ಅನ್ನು ಬಳಸಿಕೊಂಡು ಡೇಟಾವನ್ನು ತೆಗೆದುಕೊಳ್ಳುತ್ತದೆ ಮತ್ತು ಎನ್ಕೋಡ್ ಮಾಡುತ್ತದೆ. ಹ್ಯಾಮಿಂಗ್ ಕೋಡಿಂಗ್ ಸ್ಕೀಮ್ ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳನ್ನು ಪಡೆಯುತ್ತದೆ ಮತ್ತು ಔಟ್ಪುಟ್ ಕೋಡ್ ವರ್ಡ್ ಅನ್ನು ಉತ್ಪಾದಿಸಲು ಅವುಗಳನ್ನು ಮೂಲ ಡೇಟಾಗೆ ಸೇರಿಸುತ್ತದೆ. ಲಗತ್ತಿಸಲಾದ ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳ ಸಂಖ್ಯೆಯು ಡೇಟಾದ ಅಗಲವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ಕೆಳಗಿನ ಕೋಷ್ಟಕವು ಡೇಟಾ ಅಗಲಗಳ ವಿವಿಧ ಶ್ರೇಣಿಗಳಿಗೆ ಲಗತ್ತಿಸಲಾದ ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳ ಸಂಖ್ಯೆಯನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ. ಒಟ್ಟು ಬಿಟ್ಗಳ ಕಾಲಮ್ ಇನ್ಪುಟ್ ಡೇಟಾ ಬಿಟ್ಗಳು ಮತ್ತು ಲಗತ್ತಿಸಲಾದ ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳ ಒಟ್ಟು ಸಂಖ್ಯೆಯನ್ನು ಪ್ರತಿನಿಧಿಸುತ್ತದೆ.
ಕೋಷ್ಟಕ 21.
ಡೇಟಾ ಅಗಲದ ಪ್ರಕಾರ ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳು ಮತ್ತು ಕೋಡ್ ವರ್ಡ್ ಸಂಖ್ಯೆ
ಡೇಟಾ ಅಗಲ
ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳ ಸಂಖ್ಯೆ
ಒಟ್ಟು ಬಿಟ್ಗಳು (ಕೋಡ್ ವರ್ಡ್)
2-4
3+1
6-8
5-11
4+1
10-16
12-26
5+1
18-32
27-57
6+1
34-64
58-64
7+1
66-72
ಪ್ಯಾರಿಟಿ ಬಿಟ್ ವ್ಯುತ್ಪನ್ನವು ಸಮ-ಸಮಾನ ತಪಾಸಣೆಯನ್ನು ಬಳಸುತ್ತದೆ. ಹೆಚ್ಚುವರಿ 1 ಬಿಟ್ (ಕೋಷ್ಟಕದಲ್ಲಿ +1 ಎಂದು ತೋರಿಸಲಾಗಿದೆ) ಕೋಡ್ ಪದದ MSB ನಂತೆ ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳಿಗೆ ಲಗತ್ತಿಸಲಾಗಿದೆ. ಕೋಡ್ ಪದವು 1 ರ ಸಮ ಸಂಖ್ಯೆಯನ್ನು ಹೊಂದಿದೆ ಎಂದು ಇದು ಖಚಿತಪಡಿಸುತ್ತದೆ. ಉದಾಹರಣೆಗೆample, ಡೇಟಾ ಅಗಲವು 4 ಬಿಟ್ಗಳಾಗಿದ್ದರೆ, ಒಟ್ಟು 4 ಬಿಟ್ಗಳೊಂದಿಗೆ ಕೋಡ್ ವರ್ಡ್ ಆಗಲು ಡೇಟಾಗೆ 8 ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳನ್ನು ಸೇರಿಸಲಾಗುತ್ತದೆ. 7-ಬಿಟ್ ಕೋಡ್ ಪದದ LSB ಯಿಂದ 8 ಬಿಟ್ಗಳು 1 ರ ಬೆಸ ಸಂಖ್ಯೆಯನ್ನು ಹೊಂದಿದ್ದರೆ, ಕೋಡ್ ಪದದ 8 ನೇ ಬಿಟ್ (MSB) 1 ಆಗಿದ್ದು, ಕೋಡ್ ಪದದಲ್ಲಿನ ಒಟ್ಟು 1 ಗಳ ಸಂಖ್ಯೆಯನ್ನು ಸಮಗೊಳಿಸುತ್ತದೆ.
ಕೆಳಗಿನ ಚಿತ್ರವು 8-ಬಿಟ್ ಡೇಟಾ ಇನ್ಪುಟ್ನಲ್ಲಿ ರಚಿಸಲಾದ ಕೋಡ್ ಪದ ಮತ್ತು ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳು ಮತ್ತು ಡೇಟಾ ಬಿಟ್ಗಳ ಜೋಡಣೆಯನ್ನು ತೋರಿಸುತ್ತದೆ.
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 31
7. ALTECC (ದೋಷ ತಿದ್ದುಪಡಿ ಕೋಡ್: ಎನ್ಕೋಡರ್/ಡಿಕೋಡರ್) IP ಕೋರ್ 683490 | 2020.10.05
ಚಿತ್ರ 8.
8-ಬಿಟ್ ರಚಿತ ಕೋಡ್ ವರ್ಡ್ನಲ್ಲಿ ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳು ಮತ್ತು ಡೇಟಾ ಬಿಟ್ಗಳ ವ್ಯವಸ್ಥೆ
ಎಂ.ಎಸ್.ಬಿ.
LSB
4 ಪ್ಯಾರಿಟಿ ಬಿಟ್ಗಳು
4 ಡೇಟಾ ಬಿಟ್ಗಳು
8
1
ALTECC ಎನ್ಕೋಡರ್ IP ಕೋರ್ ಒಂದು ಸಮಯದಲ್ಲಿ 2 ರಿಂದ 64 ಬಿಟ್ಗಳ ಇನ್ಪುಟ್ ಅಗಲಗಳನ್ನು ಮಾತ್ರ ಸ್ವೀಕರಿಸುತ್ತದೆ. ಇಂಟೆಲ್ ಸಾಧನಗಳಿಗೆ ಸೂಕ್ತವಾಗಿ ಸೂಕ್ತವಾದ 12 ಬಿಟ್ಗಳು, 29 ಬಿಟ್ಗಳು ಮತ್ತು 64 ಬಿಟ್ಗಳ ಇನ್ಪುಟ್ ಅಗಲಗಳು ಕ್ರಮವಾಗಿ 18 ಬಿಟ್ಗಳು, 36 ಬಿಟ್ಗಳು ಮತ್ತು 72 ಬಿಟ್ಗಳ ಔಟ್ಪುಟ್ಗಳನ್ನು ಉತ್ಪಾದಿಸುತ್ತವೆ. ನೀವು ನಿಯತಾಂಕ ಸಂಪಾದಕದಲ್ಲಿ ಬಿಟ್ಸೆಲೆಕ್ಷನ್ ಮಿತಿಯನ್ನು ನಿಯಂತ್ರಿಸಬಹುದು.
7.2 ವೆರಿಲಾಗ್ HDL ಪ್ರೊಟೊಟೈಪ್ (ALTECC_ENCODER)
ಕೆಳಗಿನ ವೆರಿಲಾಗ್ HDL ಮೂಲಮಾದರಿಯು ವೆರಿಲಾಗ್ ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.v) lpm.v ರಲ್ಲಿ ಎಡಾಸಿಂಥೆಸಿಸ್ ಡೈರೆಕ್ಟರಿ.
ಮಾಡ್ಯೂಲ್ altecc_encoder #(parameter intended_device_family = "unused", ಪ್ಯಾರಾಮೀಟರ್ lpm_pipeline = 0, ಪ್ಯಾರಾಮೀಟರ್ ಅಗಲ_ಕೋಡ್ವರ್ಡ್ = 8, ನಿಯತಾಂಕ ಅಗಲ_ಡೇಟಾವರ್ಡ್ = 8, ನಿಯತಾಂಕ lpm_type = "altecc_encoder", ಪ್ಯಾರಾಮೀಟರ್ lpm_type = "altecc_encoder", ಪ್ಯಾರಾಮೀಟರ್ lpm_hint ಇನ್ಪುಟ್ ವೈರ್ಡ್ಹಿಂಟ್" ವೈರ್ ಕ್ಲಾಕನ್, ಇನ್ಪುಟ್ ವೈರ್ [ವಿಡ್ತ್_ಡೇಟಾವರ್ಡ್-1:0] ಡೇಟಾ, ಔಟ್ಪುಟ್ ವೈರ್ [ವಿಡ್ತ್_ಕೋಡ್ವರ್ಡ್-1:0] q); ಎಂಡ್ ಮಾಡ್ಯೂಲ್
7.3 ವೆರಿಲಾಗ್ HDL ಪ್ರೊಟೊಟೈಪ್ (ALTECC_DECODER)
ಕೆಳಗಿನ ವೆರಿಲಾಗ್ HDL ಮೂಲಮಾದರಿಯು ವೆರಿಲಾಗ್ ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.v) lpm.v ರಲ್ಲಿ ಎಡಾಸಿಂಥೆಸಿಸ್ ಡೈರೆಕ್ಟರಿ.
ಮಾಡ್ಯೂಲ್ altecc_decoder #( ಪ್ಯಾರಾಮೀಟರ್ intended_device_family = "ಬಳಕೆಯಾಗದ", ಪ್ಯಾರಾಮೀಟರ್ lpm_pipeline = 0, ನಿಯತಾಂಕ ಅಗಲ_ಕೋಡ್ವರ್ಡ್ = 8, ನಿಯತಾಂಕ ಅಗಲ_ಡೇಟಾವರ್ಡ್ = 8, ನಿಯತಾಂಕ lpm_type = "altecc_decoder", ಪ್ಯಾರಾಮೀಟರ್ lpm_type = "altecc_decoder", ಪ್ಯಾರಾಮೀಟರ್ lpm_hint ಇನ್ಪುಟ್, ಗಡಿಯಾರವನ್ನು ಇನ್ಪುಟ್ ಮಾಡಿ" ತಂತಿ ಗಡಿಯಾರ, ಇನ್ಪುಟ್ ವೈರ್ [ವಿಡ್ತ್_ಕೋಡ್ವರ್ಡ್-1:0] ಡೇಟಾ, ಔಟ್ಪುಟ್ ವೈರ್ ದೋಷ_ಸರಿಪಡಿಸಲಾಗಿದೆ, ಔಟ್ಪುಟ್ ವೈರ್ ದೋಷ_ಪತ್ತೆಯಾಗಿದೆ, ಔಟ್ಯುಟ್ ವೈರ್ ಎರ್_ಫಾಟಲ್, ಔಟ್ಪುಟ್ ವೈರ್ [ವಿಡ್ತ್_ಡೇಟಾವರ್ಡ್-1:0] ಕ್ಯೂ); ಎಂಡ್ ಮಾಡ್ಯೂಲ್
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 32
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
7. ALTECC (ದೋಷ ತಿದ್ದುಪಡಿ ಕೋಡ್: ಎನ್ಕೋಡರ್/ಡಿಕೋಡರ್) IP ಕೋರ್ 683490 | 2020.10.05
7.4. VHDL ಘಟಕ ಘೋಷಣೆ (ALTECC_ENCODER)
VHDL ಘಟಕ ಘೋಷಣೆಯು VHDL ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.vhd) altera_mf_components.vhd in the ಗ್ರಂಥಾಲಯಗಳುvhdlaltera_mf ಡೈರೆಕ್ಟರಿ.
ಘಟಕ altecc_encoder generic ( intended_device_family:string := “unused”; lpm_pipeline:natural := 0; width_codeword:natural := 8; width_dataword:natural := 8; lpm_hint:string := “UNUSED_testring ”); ಪೋರ್ಟ್ (aclr:in std_logic := '0'; ಗಡಿಯಾರ: std_logic := '0'; ಗಡಿಯಾರ: std_logic := '1'; ಡೇಟಾ: std_logic_vector (width_dataword-1 downto 0); q: out std_logic_vector(widd_word -1 ರಿಂದ 0)); ಅಂತಿಮ ಘಟಕ;
7.5 VHDL ಘಟಕ ಘೋಷಣೆ (ALTECC_DECODER)
VHDL ಘಟಕ ಘೋಷಣೆಯು VHDL ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.vhd) altera_mf_components.vhd in the ಗ್ರಂಥಾಲಯಗಳುvhdlaltera_mf ಡೈರೆಕ್ಟರಿ.
ಕಾಂಪೊನೆಂಟ್ altecc_decoder generic ( intended_device_family:string := “unused”; lpm_pipeline:natural := 0; width_codeword:natural := 8; width_dataword:natural := 8; lpm_hint:string := “UNUSED_TEPe_ ”); ಪೋರ್ಟ್ (aclr: in std_logic := '0'; ಗಡಿಯಾರ: std_logic := '0'; ಗಡಿಯಾರ: std_logic := '1'; ಡೇಟಾ: std_logic_vector (width_codeword-1 downto 0); err_corrected : out std_logic; : ಔಟ್ std_logic; ಅಂತಿಮ ಘಟಕ;
7.6 VHDL LIBRARY_USE ಘೋಷಣೆ
ನೀವು VHDL ಕಾಂಪೊನೆಂಟ್ ಘೋಷಣೆಯನ್ನು ಬಳಸಿದರೆ VHDL ಲೈಬ್ರರಿ-ಬಳಕೆಯ ಘೋಷಣೆಯ ಅಗತ್ಯವಿಲ್ಲ.
ಲೈಬ್ರರಿ ಆಲ್ಟೆರಾ_ಎಂಎಫ್; altera_mf.altera_mf_components.all ಅನ್ನು ಬಳಸಿ;
7.7. ಎನ್ಕೋಡರ್ ಬಂದರುಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕಗಳು ALTECC ಎನ್ಕೋಡರ್ IP ಕೋರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತವೆ.
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 33
7. ALTECC (ದೋಷ ತಿದ್ದುಪಡಿ ಕೋಡ್: ಎನ್ಕೋಡರ್/ಡಿಕೋಡರ್) IP ಕೋರ್ 683490 | 2020.10.05
ಕೋಷ್ಟಕ 22. ALTECC ಎನ್ಕೋಡರ್ ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಡೇಟಾ[]
ಹೌದು
ಡೇಟಾ ಇನ್ಪುಟ್ ಪೋರ್ಟ್. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು WIDTH_DATAWORD ಅನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ
ನಿಯತಾಂಕ ಮೌಲ್ಯ. ಡೇಟಾ[] ಪೋರ್ಟ್ ಎನ್ಕೋಡ್ ಮಾಡಬೇಕಾದ ಕಚ್ಚಾ ಡೇಟಾವನ್ನು ಒಳಗೊಂಡಿದೆ.
ಗಡಿಯಾರ
ಹೌದು
ಎನ್ಕೋಡಿಂಗ್ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ಸಿಂಕ್ರೊನೈಸ್ ಮಾಡಲು ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ಒದಗಿಸುವ ಗಡಿಯಾರ ಇನ್ಪುಟ್ ಪೋರ್ಟ್.
LPM_PIPELINE ಮೌಲ್ಯವು 0 ಕ್ಕಿಂತ ಹೆಚ್ಚಿರುವಾಗ ಗಡಿಯಾರದ ಪೋರ್ಟ್ ಅಗತ್ಯವಿದೆ.
ಗಡಿಯಾರ
ಸಂ
ಗಡಿಯಾರ ಸಕ್ರಿಯಗೊಳಿಸಿ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 1 ಆಗಿದೆ.
aclr
ಸಂ
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್. ಸಕ್ರಿಯ ಹೆಚ್ಚಿನ ಎಸಿಎಲ್ಆರ್ ಸಿಗ್ನಲ್ ಅನ್ನು ಯಾವುದೇ ಸಮಯದಲ್ಲಿ ಬಳಸಬಹುದು
ರಿಜಿಸ್ಟರ್ಗಳನ್ನು ಅಸಮಕಾಲಿಕವಾಗಿ ತೆರವುಗೊಳಿಸಿ.
ಕೋಷ್ಟಕ 23. ALTECC ಎನ್ಕೋಡರ್ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು q[]
ಅಗತ್ಯವಿದೆ ಹೌದು
ವಿವರಣೆ
ಎನ್ಕೋಡ್ ಮಾಡಲಾದ ಡೇಟಾ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್. ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು WIDTH_CODEWORD ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
7.8 ಡಿಕೋಡರ್ ಬಂದರುಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕಗಳು ALTECC ಡಿಕೋಡರ್ IP ಕೋರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತವೆ.
ಕೋಷ್ಟಕ 24. ALTECC ಡಿಕೋಡರ್ ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಡೇಟಾ[]
ಹೌದು
ಡೇಟಾ ಇನ್ಪುಟ್ ಪೋರ್ಟ್. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು WIDTH_CODEWORD ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ಗಡಿಯಾರ
ಹೌದು
ಎನ್ಕೋಡಿಂಗ್ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ಸಿಂಕ್ರೊನೈಸ್ ಮಾಡಲು ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ಒದಗಿಸುವ ಗಡಿಯಾರ ಇನ್ಪುಟ್ ಪೋರ್ಟ್. LPM_PIPELINE ಮೌಲ್ಯವು 0 ಕ್ಕಿಂತ ಹೆಚ್ಚಿರುವಾಗ ಗಡಿಯಾರದ ಪೋರ್ಟ್ ಅಗತ್ಯವಿದೆ.
ಗಡಿಯಾರ
ಸಂ
ಗಡಿಯಾರ ಸಕ್ರಿಯಗೊಳಿಸಿ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 1 ಆಗಿದೆ.
aclr
ಸಂ
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್. ರೆಜಿಸ್ಟರ್ಗಳನ್ನು ಅಸಮಕಾಲಿಕವಾಗಿ ತೆರವುಗೊಳಿಸಲು ಸಕ್ರಿಯವಾದ ಹೆಚ್ಚಿನ ಎಸಿಎಲ್ಆರ್ ಸಿಗ್ನಲ್ ಅನ್ನು ಯಾವುದೇ ಸಮಯದಲ್ಲಿ ಬಳಸಬಹುದು.
ಕೋಷ್ಟಕ 25. ALTECC ಡಿಕೋಡರ್ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು q[]
ಅಗತ್ಯವಿದೆ ಹೌದು
ವಿವರಣೆ
ಡಿಕೋಡ್ ಮಾಡಿದ ಡೇಟಾ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್. ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು WIDTH_DATAWORD ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ದೋಷ_ಪತ್ತೆಯಾಗಿದೆ ಹೌದು
ಸ್ವೀಕರಿಸಿದ ಡೇಟಾದ ಸ್ಥಿತಿಯನ್ನು ಪ್ರತಿಬಿಂಬಿಸಲು ಫ್ಲ್ಯಾಗ್ ಸಿಗ್ನಲ್ ಮತ್ತು ಕಂಡುಬರುವ ಯಾವುದೇ ದೋಷಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
err_correcte ಹೌದು ಡಿ
ಸ್ವೀಕರಿಸಿದ ಡೇಟಾದ ಸ್ಥಿತಿಯನ್ನು ಪ್ರತಿಬಿಂಬಿಸಲು ಫ್ಲ್ಯಾಗ್ ಸಿಗ್ನಲ್. ಏಕ-ಬಿಟ್ ದೋಷ ಕಂಡುಬಂದಿದೆ ಮತ್ತು ಸರಿಪಡಿಸಲಾಗಿದೆ ಎಂದು ಸೂಚಿಸುತ್ತದೆ. ನೀವು ಡೇಟಾವನ್ನು ಬಳಸಬಹುದು ಏಕೆಂದರೆ ಅದನ್ನು ಈಗಾಗಲೇ ಸರಿಪಡಿಸಲಾಗಿದೆ.
ತಪ್ಪು_ಮಾರಣಾಂತಿಕ
ಹೌದು
ಸ್ವೀಕರಿಸಿದ ಡೇಟಾದ ಸ್ಥಿತಿಯನ್ನು ಪ್ರತಿಬಿಂಬಿಸಲು ಫ್ಲ್ಯಾಗ್ ಸಿಗ್ನಲ್. ಡಬಲ್-ಬಿಟ್ ದೋಷ ಕಂಡುಬಂದಿದೆ, ಆದರೆ ಸರಿಪಡಿಸಲಾಗಿಲ್ಲ ಎಂದು ಸೂಚಿಸುತ್ತದೆ. ಈ ಸಂಕೇತವನ್ನು ಪ್ರತಿಪಾದಿಸಿದರೆ ನೀವು ಡೇಟಾವನ್ನು ಬಳಸಬಾರದು.
syn_e
ಸಂ
ಪ್ಯಾರಿಟಿಯಲ್ಲಿ ಏಕ-ಬಿಟ್ ದೋಷ ಪತ್ತೆಯಾದಾಗಲೆಲ್ಲಾ ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ ಹೆಚ್ಚು ಹೋಗುತ್ತದೆ
ಬಿಟ್ಗಳು.
7.9 ಎನ್ಕೋಡರ್ ನಿಯತಾಂಕಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕವು ALTECC ಎನ್ಕೋಡರ್ IP ಕೋರ್ಗಾಗಿ ನಿಯತಾಂಕಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ.
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 34
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
7. ALTECC (ದೋಷ ತಿದ್ದುಪಡಿ ಕೋಡ್: ಎನ್ಕೋಡರ್/ಡಿಕೋಡರ್) IP ಕೋರ್ 683490 | 2020.10.05
ಕೋಷ್ಟಕ 26. ALTECC ಎನ್ಕೋಡರ್ ಪ್ಯಾರಾಮೀಟರ್ಗಳು
ಪ್ಯಾರಾಮೀಟರ್ ಹೆಸರು
ಟೈಪ್ ಮಾಡಿ
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
WIDTH_DATAWORD
ಪೂರ್ಣಾಂಕ ಹೌದು
ಕಚ್ಚಾ ಡೇಟಾದ ಅಗಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಮೌಲ್ಯಗಳು 2 ರಿಂದ 64 ರವರೆಗಿನವು. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 8 ಆಗಿದೆ.
WIDTH_CODEWORD
ಪೂರ್ಣಾಂಕ ಹೌದು
ಅನುಗುಣವಾದ ಕೋಡ್ ಪದದ ಅಗಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಮಾನ್ಯವಾದ ಮೌಲ್ಯಗಳು 6, 72, 9, ಮತ್ತು 17 ಹೊರತುಪಡಿಸಿ, 33 ರಿಂದ 65 ರವರೆಗಿನವು. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 13 ಆಗಿದೆ.
LPM_PIPELINE
ಪೂರ್ಣಾಂಕ ಸಂಖ್ಯೆ
ಸರ್ಕ್ಯೂಟ್ಗಾಗಿ ಪೈಪ್ಲೈನ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಮೌಲ್ಯಗಳು 0 ರಿಂದ 2 ರವರೆಗಿನವು. ಮೌಲ್ಯವು 0 ಆಗಿದ್ದರೆ, ಪೋರ್ಟ್ಗಳನ್ನು ನೋಂದಾಯಿಸಲಾಗಿಲ್ಲ. ಮೌಲ್ಯವು 1 ಆಗಿದ್ದರೆ, ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ನೋಂದಾಯಿಸಲಾಗಿದೆ. ಮೌಲ್ಯವು 2 ಆಗಿದ್ದರೆ, ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ನೋಂದಾಯಿಸಲಾಗುತ್ತದೆ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 0 ಆಗಿದೆ.
7.10. ಡಿಕೋಡರ್ ನಿಯತಾಂಕಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕವು ALTECC ಡಿಕೋಡರ್ IP ಕೋರ್ ನಿಯತಾಂಕಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ.
ಕೋಷ್ಟಕ 27. ALTECC ಡಿಕೋಡರ್ ನಿಯತಾಂಕಗಳು
ಪ್ಯಾರಾಮೀಟರ್ ಹೆಸರು WIDTH_DATAWORD
ಪೂರ್ಣಾಂಕವನ್ನು ಟೈಪ್ ಮಾಡಿ
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಹೌದು
ಕಚ್ಚಾ ಡೇಟಾದ ಅಗಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಮೌಲ್ಯಗಳು 2 ರಿಂದ 64. ದಿ
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 8 ಆಗಿದೆ.
WIDTH_CODEWORD
ಪೂರ್ಣಾಂಕ
ಹೌದು
ಅನುಗುಣವಾದ ಕೋಡ್ ಪದದ ಅಗಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಮೌಲ್ಯಗಳು 6
72 ಗೆ, 9, 17, 33, ಮತ್ತು 65 ಹೊರತುಪಡಿಸಿ. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
13 ಆಗಿದೆ.
LPM_PIPELINE
ಪೂರ್ಣಾಂಕ
ಸಂ
ಸರ್ಕ್ಯೂಟ್ನ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಮೌಲ್ಯಗಳು 0 ರಿಂದ 2 ರವರೆಗಿನವು
ಮೌಲ್ಯವು 0 ಆಗಿದೆ, ಯಾವುದೇ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಅಳವಡಿಸಲಾಗಿಲ್ಲ. ಮೌಲ್ಯವು 1 ಆಗಿದ್ದರೆ, ದಿ
ಔಟ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸಲಾಗಿದೆ. ಮೌಲ್ಯವು 2 ಆಗಿದ್ದರೆ, ಇನ್ಪುಟ್ ಮತ್ತು ದಿ
ಔಟ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸಲಾಗಿದೆ. ಮೌಲ್ಯವು 2 ಕ್ಕಿಂತ ಹೆಚ್ಚಿದ್ದರೆ, ಹೆಚ್ಚುವರಿ
ಹೆಚ್ಚುವರಿಗಾಗಿ ಔಟ್ಪುಟ್ನಲ್ಲಿ ರೆಜಿಸ್ಟರ್ಗಳನ್ನು ಅಳವಡಿಸಲಾಗಿದೆ
ಲೇಟೆನ್ಸಿಗಳು. ಬಿಟ್ಟುಬಿಟ್ಟರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು 0 ಆಗಿದೆ.
'syn_e' ಪೋರ್ಟ್ ಅನ್ನು ರಚಿಸಿ
ಪೂರ್ಣಾಂಕ
ಸಂ
syn_e ಪೋರ್ಟ್ ರಚಿಸಲು ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಆನ್ ಮಾಡಿ.
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 35
683490 | 2020.10.05 ಪ್ರತಿಕ್ರಿಯೆ ಕಳುಹಿಸಿ
8. ಇಂಟೆಲ್ ಎಫ್ಪಿಜಿಎ ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ ಐಪಿ ಕೋರ್
ಚಿತ್ರ 9.
Intel FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡರ್ (Intel Stratix 10, Intel Arria 10, ಮತ್ತು Intel Cyclone 10 GX ಸಾಧನಗಳು) ಅಥವಾ ALTERA_MULT_ADD (Arria V, Stratix V, ಮತ್ತು Cyclone V ಸಾಧನಗಳು) IP ಕೋರ್ ನಿಮಗೆ ಮಲ್ಟಿಪ್ಲೈಯರ್-ಆಡ್ಡರ್ ಅನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸಲು ಅನುಮತಿಸುತ್ತದೆ.
ಕೆಳಗಿನ ಚಿತ್ರವು Intel FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ ಅಥವಾ ALTERA_MULT_ADD IP ಕೋರ್ಗಾಗಿ ಪೋರ್ಟ್ಗಳನ್ನು ತೋರಿಸುತ್ತದೆ.
Intel FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ ಅಥವಾ ALTERA_MULT_ADD ಪೋರ್ಟ್ಗಳು
Intel FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ ಅಥವಾ ALTERA_MULT_ADD
ಡೇಟಾ
ಅಕ್ಯುಮ್_ಸ್ಲೋಡ್ ಚೈನ್[]
ಸ್ಕ್ಯಾನೌಟಾ[] ಫಲಿತಾಂಶ[]
aclr0 aclr1
inst
ಗುಣಕ-ಸೇರಿಸುವವರು ಜೋಡಿ ಇನ್ಪುಟ್ಗಳನ್ನು ಸ್ವೀಕರಿಸುತ್ತಾರೆ, ಮೌಲ್ಯಗಳನ್ನು ಒಟ್ಟಿಗೆ ಗುಣಿಸುತ್ತಾರೆ ಮತ್ತು ನಂತರ ಎಲ್ಲಾ ಇತರ ಜೋಡಿಗಳ ಉತ್ಪನ್ನಗಳಿಗೆ ಸೇರಿಸುತ್ತಾರೆ ಅಥವಾ ಕಳೆಯುತ್ತಾರೆ.
ಎಲ್ಲಾ ಇನ್ಪುಟ್ ಡೇಟಾ ಅಗಲಗಳು 9-ಬಿಟ್ಗಳ ಅಗಲ ಅಥವಾ ಚಿಕ್ಕದಾಗಿದ್ದರೆ, ಕಾರ್ಯವು 9 x 9 ಕಾನ್ಫಿಗರೇಶನ್ ಅನ್ನು ಬೆಂಬಲಿಸುವ ಸಾಧನಗಳಿಗಾಗಿ DSP ಬ್ಲಾಕ್ನಲ್ಲಿ 9 x 9 ಬಿಟ್ ಇನ್ಪುಟ್ ಮಲ್ಟಿಪ್ಲೈಯರ್ ಕಾನ್ಫಿಗರೇಶನ್ ಅನ್ನು ಬಳಸುತ್ತದೆ. ಇಲ್ಲದಿದ್ದರೆ, DSP ಬ್ಲಾಕ್ 18 ಬಿಟ್ಗಳು ಮತ್ತು 18 ಬಿಟ್ಗಳ ನಡುವಿನ ಅಗಲದೊಂದಿಗೆ ಡೇಟಾವನ್ನು ಪ್ರಕ್ರಿಯೆಗೊಳಿಸಲು 10 × 18-ಬಿಟ್ ಇನ್ಪುಟ್ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳನ್ನು ಬಳಸುತ್ತದೆ. ಬಹು Intel FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ ಅಥವಾ ALTERA_MULT_ADD IP ಕೋರ್ಗಳು ವಿನ್ಯಾಸದಲ್ಲಿ ಸಂಭವಿಸಿದರೆ, ಕಾರ್ಯಗಳನ್ನು ಹೀಗೆ ವಿತರಿಸಲಾಗುತ್ತದೆ
ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.
ISO 9001:2015 ನೋಂದಾಯಿಸಲಾಗಿದೆ
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಸಾಧ್ಯವಾದಷ್ಟು ವಿಭಿನ್ನ DSP ಬ್ಲಾಕ್ಗಳು ಈ ಬ್ಲಾಕ್ಗಳಿಗೆ ರೂಟಿಂಗ್ ಹೆಚ್ಚು ಮೃದುವಾಗಿರುತ್ತದೆ. ಪ್ರತಿ DSP ಬ್ಲಾಕ್ಗೆ ಕಡಿಮೆ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳು ಸಾಧನದ ಉಳಿದ ಭಾಗಗಳಿಗೆ ಮಾರ್ಗಗಳನ್ನು ಕಡಿಮೆ ಮಾಡುವ ಮೂಲಕ ಬ್ಲಾಕ್ಗೆ ಹೆಚ್ಚಿನ ರೂಟಿಂಗ್ ಆಯ್ಕೆಗಳನ್ನು ಅನುಮತಿಸುತ್ತದೆ.
ಕೆಳಗಿನ ಸಿಗ್ನಲ್ಗಳಿಗಾಗಿ ರೆಜಿಸ್ಟರ್ಗಳು ಮತ್ತು ಹೆಚ್ಚುವರಿ ಪೈಪ್ಲೈನ್ ರೆಜಿಸ್ಟರ್ಗಳನ್ನು ಡಿಎಸ್ಪಿ ಬ್ಲಾಕ್ನಲ್ಲಿ ಇರಿಸಲಾಗಿದೆ: · ಡೇಟಾ ಇನ್ಪುಟ್ · ಸಹಿ ಅಥವಾ ಸಹಿ ಮಾಡದ ಆಯ್ಕೆ · ಆಯ್ಕೆ ಸೇರಿಸಿ ಅಥವಾ ಕಳೆಯಿರಿ · ಗುಣಕಗಳ ಉತ್ಪನ್ನಗಳು
ಔಟ್ಪುಟ್ ಫಲಿತಾಂಶದ ಸಂದರ್ಭದಲ್ಲಿ, ಮೊದಲ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಡಿಎಸ್ಪಿ ಬ್ಲಾಕ್ನಲ್ಲಿ ಇರಿಸಲಾಗುತ್ತದೆ. ಆದಾಗ್ಯೂ ಹೆಚ್ಚುವರಿ ಲೇಟೆನ್ಸಿ ರೆಜಿಸ್ಟರ್ಗಳನ್ನು ಬ್ಲಾಕ್ನ ಹೊರಗಿನ ಲಾಜಿಕ್ ಅಂಶಗಳಲ್ಲಿ ಇರಿಸಲಾಗುತ್ತದೆ. ಗುಣಕಕ್ಕೆ ಡೇಟಾ ಇನ್ಪುಟ್ಗಳು, ಕಂಟ್ರೋಲ್ ಸಿಗ್ನಲ್ ಇನ್ಪುಟ್ಗಳು ಮತ್ತು ಆಡರ್ನ ಔಟ್ಪುಟ್ಗಳನ್ನು ಒಳಗೊಂಡಂತೆ ಡಿಎಸ್ಪಿ ಬ್ಲಾಕ್ಗೆ ಬಾಹ್ಯ, ಸಾಧನದ ಉಳಿದ ಭಾಗಗಳೊಂದಿಗೆ ಸಂವಹನ ನಡೆಸಲು ನಿಯಮಿತ ರೂಟಿಂಗ್ ಅನ್ನು ಬಳಸಿ. ಕಾರ್ಯದಲ್ಲಿನ ಎಲ್ಲಾ ಸಂಪರ್ಕಗಳು DSP ಬ್ಲಾಕ್ನೊಳಗೆ ಮೀಸಲಾದ ರೂಟಿಂಗ್ ಅನ್ನು ಬಳಸುತ್ತವೆ. ಮಲ್ಟಿಪ್ಲೈಯರ್ನ ನೋಂದಾಯಿತ ಇನ್ಪುಟ್ ಡೇಟಾವನ್ನು ಒಂದು ಗುಣಕದಿಂದ ಪಕ್ಕದ ಗುಣಕಕ್ಕೆ ಬದಲಾಯಿಸುವ ಆಯ್ಕೆಯನ್ನು ನೀವು ಆರಿಸಿದಾಗ ಈ ಮೀಸಲಾದ ರೂಟಿಂಗ್ ಶಿಫ್ಟ್ ರಿಜಿಸ್ಟರ್ ಚೈನ್ಗಳನ್ನು ಒಳಗೊಂಡಿರುತ್ತದೆ.
ಯಾವುದೇ ಸ್ಟ್ರಾಟಿಕ್ಸ್ V, ಮತ್ತು Arria V ಸಾಧನ ಸರಣಿಯಲ್ಲಿನ DSP ಬ್ಲಾಕ್ಗಳ ಕುರಿತು ಹೆಚ್ಚಿನ ಮಾಹಿತಿಗಾಗಿ, ಸಾಹಿತ್ಯ ಮತ್ತು ತಾಂತ್ರಿಕ ದಾಖಲಾತಿ ಪುಟದಲ್ಲಿನ ಆಯಾ ಕೈಪಿಡಿಗಳ DSP ಬ್ಲಾಕ್ಗಳ ಅಧ್ಯಾಯವನ್ನು ನೋಡಿ.
ಸಂಬಂಧಿತ ಮಾಹಿತಿ AN 306: FPGA ಸಾಧನಗಳಲ್ಲಿ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳನ್ನು ಅಳವಡಿಸುವುದು
ಇಂಟೆಲ್ ಎಫ್ಪಿಜಿಎ ಸಾಧನಗಳಲ್ಲಿ ಡಿಎಸ್ಪಿ ಮತ್ತು ಮೆಮೊರಿ ಬ್ಲಾಕ್ಗಳನ್ನು ಬಳಸಿಕೊಂಡು ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳನ್ನು ಅಳವಡಿಸುವ ಕುರಿತು ಹೆಚ್ಚಿನ ಮಾಹಿತಿಯನ್ನು ಒದಗಿಸುತ್ತದೆ.
8.1. ವೈಶಿಷ್ಟ್ಯಗಳು
Intel FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ ಅಥವಾ ALTERA_MULT_ADD IP ಕೋರ್ ಈ ಕೆಳಗಿನ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ನೀಡುತ್ತದೆ: · ಎರಡು ಸಂಕೀರ್ಣಗಳ ಗುಣಾಕಾರ ಕಾರ್ಯಾಚರಣೆಗಳನ್ನು ನಿರ್ವಹಿಸಲು ಗುಣಕವನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ
ಸಂಖ್ಯೆಗಳನ್ನು ಗಮನಿಸಿ: ಸ್ಥಳೀಯವಾಗಿ ಬೆಂಬಲಿತ ಗಾತ್ರಕ್ಕಿಂತ ದೊಡ್ಡದಾದ ಗುಣಕಗಳನ್ನು ನಿರ್ಮಿಸುವಾಗ/
DSP ಬ್ಲಾಕ್ಗಳ ಕ್ಯಾಸ್ಕೇಡಿಂಗ್ನಿಂದ ಉಂಟಾಗುವ ಕಾರ್ಯಕ್ಷಮತೆಯ ಪ್ರಭಾವವಾಗಿರುತ್ತದೆ. · 1 256 ಬಿಟ್ಗಳ ಡೇಟಾ ಅಗಲವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಸಹಿ ಮಾಡಿದ ಮತ್ತು ಸಹಿ ಮಾಡದ ಡೇಟಾ ಪ್ರಾತಿನಿಧ್ಯ ಸ್ವರೂಪವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಕಾನ್ಫಿಗರ್ ಮಾಡಬಹುದಾದ ಇನ್ಪುಟ್ ಲೇಟೆನ್ಸಿಯೊಂದಿಗೆ ಪೈಪ್ಲೈನ್ ಅನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಸಹಿ ಮತ್ತು ಸಹಿ ಮಾಡದ ಡೇಟಾ ಬೆಂಬಲದ ನಡುವೆ ಕ್ರಿಯಾತ್ಮಕವಾಗಿ ಬದಲಾಯಿಸುವ ಆಯ್ಕೆಯನ್ನು ಒದಗಿಸುತ್ತದೆ · ಸೇರಿಸುವ ಮತ್ತು ಕಳೆಯುವ ಕಾರ್ಯಾಚರಣೆಯ ನಡುವೆ ಕ್ರಿಯಾತ್ಮಕವಾಗಿ ಬದಲಾಯಿಸುವ ಆಯ್ಕೆಯನ್ನು ಒದಗಿಸುತ್ತದೆ · ಬೆಂಬಲ ಐಚ್ಛಿಕ ಅಸಮಕಾಲಿಕ ಮತ್ತು ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮತ್ತು ಗಡಿಯಾರವು ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ · ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ ರಿಜಿಸ್ಟರ್ ಮೋಡ್ ಅನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಪ್ರತಿ ಗುಣಕಕ್ಕೆ 8 ಪೂರ್ವ-ಲೋಡ್ ಗುಣಾಂಕಗಳೊಂದಿಗೆ ಪೂರ್ವ-ಸೇರಿಸುವಿಕೆಯನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಸಂಚಯಕ ಪ್ರತಿಕ್ರಿಯೆಗೆ ಪೂರಕವಾಗಿ ಪೂರ್ವ-ಲೋಡ್ ಸ್ಥಿರವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 37
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
8.1.1. ಪೂರ್ವ ಸೇರಿಸುವವನು
ಪೂರ್ವ-ಸೇರಿಸುವಿಕೆಯೊಂದಿಗೆ, ಗುಣಕಕ್ಕೆ ಆಹಾರ ನೀಡುವ ಮೊದಲು ಸೇರ್ಪಡೆಗಳು ಅಥವಾ ವ್ಯವಕಲನಗಳನ್ನು ಮಾಡಲಾಗುತ್ತದೆ.
ಐದು ಪೂರ್ವ-ಆಡ್ಡರ್ ಮೋಡ್ಗಳಿವೆ: · ಸರಳ ಮೋಡ್ · ಗುಣಾಂಕ ಮೋಡ್ · ಇನ್ಪುಟ್ ಮೋಡ್ · ಸ್ಕ್ವೇರ್ ಮೋಡ್ · ಸ್ಥಿರ ಮೋಡ್
ಗಮನಿಸಿ:
ಪ್ರಿ-ಆಡ್ಡರ್ ಅನ್ನು ಬಳಸಿದಾಗ (ಪ್ರಿ-ಆಡ್ಡರ್ ಗುಣಾಂಕ/ಇನ್ಪುಟ್/ಸ್ಕ್ವೇರ್ ಮೋಡ್), ಗುಣಕಕ್ಕೆ ಎಲ್ಲಾ ಡೇಟಾ ಇನ್ಪುಟ್ಗಳು ಒಂದೇ ಗಡಿಯಾರ ಸೆಟ್ಟಿಂಗ್ ಅನ್ನು ಹೊಂದಿರಬೇಕು.
8.1.1.1. ಪೂರ್ವ ಸೇರಿಸುವ ಸರಳ ಮೋಡ್
ಈ ಕ್ರಮದಲ್ಲಿ, ಎರಡೂ ಒಪೆರಾಂಡ್ಗಳು ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳಿಂದ ಪಡೆಯುತ್ತವೆ ಮತ್ತು ಪೂರ್ವ-ಆಡ್ಡರ್ ಅನ್ನು ಬಳಸಲಾಗುವುದಿಲ್ಲ ಅಥವಾ ಬೈಪಾಸ್ ಮಾಡಲಾಗುವುದಿಲ್ಲ. ಇದು ಡೀಫಾಲ್ಟ್ ಮೋಡ್ ಆಗಿದೆ.
ಚಿತ್ರ 10. ಪೂರ್ವ ಸೇರಿಸುವ ಸರಳ ಮೋಡ್
a0 b0
ಬಹು 0
ಫಲಿತಾಂಶ
8.1.1.2. ಪೂರ್ವ-ಸೇರಿಸುವ ಗುಣಾಂಕ ಮೋಡ್
ಈ ಕ್ರಮದಲ್ಲಿ, ಒಂದು ಗುಣಕ ಒಪೆರಾಂಡ್ ಪೂರ್ವ-ಸೇರಿಸುವವರಿಂದ ಪಡೆಯುತ್ತದೆ, ಮತ್ತು ಇನ್ನೊಂದು ಆಪರೇಂಡ್ ಆಂತರಿಕ ಗುಣಾಂಕ ಸಂಗ್ರಹಣೆಯಿಂದ ಪಡೆಯುತ್ತದೆ. ಗುಣಾಂಕ ಸಂಗ್ರಹಣೆಯು 8 ಪೂರ್ವನಿಗದಿ ಸ್ಥಿರಾಂಕಗಳನ್ನು ಅನುಮತಿಸುತ್ತದೆ. ಗುಣಾಂಕ ಆಯ್ಕೆ ಸಂಕೇತಗಳು ಕೋಫ್ಸೆಲ್[0..3].
ಈ ಕ್ರಮವನ್ನು ಕೆಳಗಿನ ಸಮೀಕರಣದಲ್ಲಿ ವ್ಯಕ್ತಪಡಿಸಲಾಗಿದೆ.
ಕೆಳಗಿನವು ಗುಣಕಗಳ ಪೂರ್ವ-ಸೇರಿಸುವ ಗುಣಾಂಕದ ಮೋಡ್ ಅನ್ನು ತೋರಿಸುತ್ತದೆ.
ಚಿತ್ರ 11. ಪೂರ್ವ-ಆಡ್ಡರ್ ಗುಣಾಂಕ ಮೋಡ್
ಪ್ರೆಡರ್
a0
ಬಹು 0
+/-
ಫಲಿತಾಂಶ
b0
coefsel0 coef
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 38
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
8.1.1.3. ಪೂರ್ವ-ಆಡ್ಡರ್ ಇನ್ಪುಟ್ ಮೋಡ್ ಈ ಮೋಡ್ನಲ್ಲಿ, ಒಂದು ಮಲ್ಟಿಪ್ಲೈಯರ್ ಆಪರೇಂಡ್ ಪೂರ್ವ-ಆಡ್ಡರ್ನಿಂದ ಪಡೆಯುತ್ತದೆ ಮತ್ತು ಇನ್ನೊಂದು ಆಪರೇಂಡ್ ಡೇಟಾಕ್[] ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನಿಂದ ಪಡೆಯುತ್ತದೆ. ಈ ಕ್ರಮವನ್ನು ಕೆಳಗಿನ ಸಮೀಕರಣದಲ್ಲಿ ವ್ಯಕ್ತಪಡಿಸಲಾಗಿದೆ.
ಕೆಳಗಿನವು ಗುಣಕಗಳ ಪೂರ್ವ-ಸೇರಿಸುವ ಇನ್ಪುಟ್ ಮೋಡ್ ಅನ್ನು ತೋರಿಸುತ್ತದೆ.
ಚಿತ್ರ 12. ಪೂರ್ವ ಸೇರಿಸುವ ಇನ್ಪುಟ್ ಮೋಡ್
a0 b0
ಬಹು 0
+/-
ಫಲಿತಾಂಶ
c0
8.1.1.4. ಪೂರ್ವ-ಸೇರಿಸುವ ಸ್ಕ್ವೇರ್ ಮೋಡ್ ಈ ಮೋಡ್ ಅನ್ನು ಈ ಕೆಳಗಿನ ಸಮೀಕರಣದಲ್ಲಿ ವ್ಯಕ್ತಪಡಿಸಲಾಗುತ್ತದೆ.
ಕೆಳಗಿನವು ಎರಡು ಗುಣಕಗಳ ಪೂರ್ವ-ಆಡ್ಡರ್ ಸ್ಕ್ವೇರ್ ಮೋಡ್ ಅನ್ನು ತೋರಿಸುತ್ತದೆ.
ಚಿತ್ರ 13. ಪೂರ್ವ-ಆಡ್ಡರ್ ಸ್ಕ್ವೇರ್ ಮೋಡ್
a0 b0
ಬಹು 0
+/-
ಫಲಿತಾಂಶ
8.1.1.5. ಪೂರ್ವ-ಸೇರಿಸುವಿಕೆ ಸ್ಥಿರ ಮೋಡ್
ಈ ಕ್ರಮದಲ್ಲಿ, ಒಂದು ಮಲ್ಟಿಪ್ಲೈಯರ್ ಒಪೆರಾಂಡ್ ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನಿಂದ ಪಡೆಯುತ್ತದೆ ಮತ್ತು ಇನ್ನೊಂದು ಆಪರೇಂಡ್ ಆಂತರಿಕ ಗುಣಾಂಕ ಸಂಗ್ರಹಣೆಯಿಂದ ಪಡೆಯುತ್ತದೆ. ಗುಣಾಂಕ ಸಂಗ್ರಹಣೆಯು 8 ಪೂರ್ವನಿಗದಿ ಸ್ಥಿರಾಂಕಗಳನ್ನು ಅನುಮತಿಸುತ್ತದೆ. ಗುಣಾಂಕ ಆಯ್ಕೆ ಸಂಕೇತಗಳು ಕೋಫ್ಸೆಲ್[0..3].
ಈ ಕ್ರಮವನ್ನು ಕೆಳಗಿನ ಸಮೀಕರಣದಲ್ಲಿ ವ್ಯಕ್ತಪಡಿಸಲಾಗಿದೆ.
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 39
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಕೆಳಗಿನ ಅಂಕಿ ಅಂಶವು ಗುಣಕಗಳ ಪೂರ್ವ-ಸೇರಿಸುವ ಸ್ಥಿರ ಮೋಡ್ ಅನ್ನು ತೋರಿಸುತ್ತದೆ.
ಚಿತ್ರ 14. ಪೂರ್ವ-ಆಡ್ಡರ್ ಸ್ಥಿರ ಮೋಡ್
a0
ಬಹು 0
ಫಲಿತಾಂಶ
coefsel0
ಕೋಫ್
8.1.2. ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ ನೋಂದಣಿ
ಸಿಸ್ಟೊಲಿಕ್ ಆರ್ಕಿಟೆಕ್ಚರ್ನಲ್ಲಿ, ಇನ್ಪುಟ್ ಡೇಟಾವನ್ನು ಡೇಟಾ ಬಫರ್ನಂತೆ ಕಾರ್ಯನಿರ್ವಹಿಸುವ ರೆಜಿಸ್ಟರ್ಗಳ ಕ್ಯಾಸ್ಕೇಡ್ಗೆ ನೀಡಲಾಗುತ್ತದೆ. ಪ್ರತಿ ರಿಜಿಸ್ಟರ್ ಒಂದು ಇನ್ಪುಟ್ ಗಳನ್ನು ನೀಡುತ್ತದೆample ಒಂದು ಗುಣಕಕ್ಕೆ ಆಯಾ ಗುಣಾಂಕದಿಂದ ಗುಣಿಸಿದಾಗ. ಸರಣಿ ಸಂಯೋಜಕವು ಗುಣಕದಿಂದ ಕ್ರಮೇಣ ಸಂಯೋಜಿತ ಫಲಿತಾಂಶಗಳನ್ನು ಮತ್ತು ಅಂತಿಮ ಫಲಿತಾಂಶವನ್ನು ರೂಪಿಸಲು ಚೈನ್[] ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನಿಂದ ಹಿಂದೆ ನೋಂದಾಯಿಸಿದ ಫಲಿತಾಂಶವನ್ನು ಸಂಗ್ರಹಿಸುತ್ತದೆ. ಪ್ರತಿ ಗುಣಿಸಿ-ಸೇರಿಸು ಅಂಶವನ್ನು ಒಂದೇ ಚಕ್ರದಿಂದ ವಿಳಂಬಗೊಳಿಸಬೇಕು ಆದ್ದರಿಂದ ಒಟ್ಟಿಗೆ ಸೇರಿಸಿದಾಗ ಫಲಿತಾಂಶಗಳು ಸೂಕ್ತವಾಗಿ ಸಿಂಕ್ರೊನೈಸ್ ಆಗುತ್ತವೆ. ಪ್ರತಿ ಅನುಕ್ರಮವಾದ ವಿಳಂಬವನ್ನು ಗುಣಾಂಕ ಮೆಮೊರಿ ಮತ್ತು ಅವುಗಳ ಗುಣಿಸಿ-ಸೇರಿಸು ಅಂಶಗಳ ಡೇಟಾ ಬಫರ್ ಎರಡನ್ನೂ ಪರಿಹರಿಸಲು ಬಳಸಲಾಗುತ್ತದೆ. ಉದಾಹರಣೆಗೆample, ಎರಡನೇ ಗುಣಿಸಿ ಸೇರಿಸುವ ಅಂಶಕ್ಕೆ ಒಂದೇ ವಿಳಂಬ, ಮೂರನೇ ಗುಣಿಸಿ-ಸೇರಿಸು ಅಂಶಕ್ಕೆ ಎರಡು ವಿಳಂಬಗಳು, ಇತ್ಯಾದಿ.
ಚಿತ್ರ 15. ಸಿಸ್ಟೊಲಿಕ್ ರಿಜಿಸ್ಟರ್ಗಳು
ಸಿಸ್ಟೊಲಿಕ್ ರೆಜಿಸ್ಟರ್ಗಳು
x(t) c(0)
ಎಸ್ -1
ಎಸ್ -1
ಸಿ(1)
ಎಸ್ -1
ಎಸ್ -1
ಸಿ(2)
ಎಸ್ -1
ಎಸ್ -1
c(N-1)
ಎಸ್ -1
ಎಸ್ -1
ಎಸ್ -1
S -1 y(t)
x(t) ಇನ್ಪುಟ್ s ನ ನಿರಂತರ ಸ್ಟ್ರೀಮ್ನಿಂದ ಫಲಿತಾಂಶಗಳನ್ನು ಪ್ರತಿನಿಧಿಸುತ್ತದೆampಲೆಸ್ ಮತ್ತು ವೈ(ಟಿ)
ಇನ್ಪುಟ್ s ನ ಒಂದು ಗುಂಪಿನ ಸಂಕಲನವನ್ನು ಪ್ರತಿನಿಧಿಸುತ್ತದೆampಲೆಸ್, ಮತ್ತು ಸಮಯದಲ್ಲಿ, ಅವುಗಳ ಮೂಲಕ ಗುಣಿಸಿದಾಗ
ಆಯಾ ಗುಣಾಂಕಗಳು. ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಫಲಿತಾಂಶಗಳೆರಡೂ ಎಡದಿಂದ ಬಲಕ್ಕೆ ಹರಿಯುತ್ತವೆ. c(0) to c(N-1) ಗುಣಾಂಕಗಳನ್ನು ಸೂಚಿಸುತ್ತದೆ. ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ ರೆಜಿಸ್ಟರ್ಗಳನ್ನು S-1 ನಿಂದ ಸೂಚಿಸಲಾಗುತ್ತದೆ, ಆದರೆ 1 ಒಂದೇ ಗಡಿಯಾರದ ವಿಳಂಬವನ್ನು ಪ್ರತಿನಿಧಿಸುತ್ತದೆ. ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ ರೆಜಿಸ್ಟರ್ಗಳನ್ನು ಇಲ್ಲಿ ಸೇರಿಸಲಾಗುತ್ತದೆ
ನಿಂದ ಫಲಿತಾಂಶಗಳನ್ನು ಖಾತ್ರಿಪಡಿಸುವ ರೀತಿಯಲ್ಲಿ ಪೈಪ್ಲೈನ್ಗಾಗಿ ಒಳಹರಿವು ಮತ್ತು ಔಟ್ಪುಟ್ಗಳು
ಗುಣಕ ಒಪೆರಾಂಡ್ ಮತ್ತು ಸಂಚಿತ ಮೊತ್ತಗಳು ಸಿಂಕ್ನಲ್ಲಿ ಉಳಿಯುತ್ತವೆ. ಈ ಸಂಸ್ಕರಣಾ ಅಂಶ
ಫಿಲ್ಟರಿಂಗ್ ಕಾರ್ಯವನ್ನು ಲೆಕ್ಕಾಚಾರ ಮಾಡುವ ಸರ್ಕ್ಯೂಟ್ ಅನ್ನು ರೂಪಿಸಲು ಪುನರಾವರ್ತಿಸಲಾಗುತ್ತದೆ. ಈ ಕಾರ್ಯವು
ಕೆಳಗಿನ ಸಮೀಕರಣದಲ್ಲಿ ವ್ಯಕ್ತಪಡಿಸಲಾಗಿದೆ.
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 40
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
N ಸಂಚಯಕಕ್ಕೆ ಪ್ರವೇಶಿಸಿದ ಡೇಟಾದ ಚಕ್ರಗಳ ಸಂಖ್ಯೆಯನ್ನು ಪ್ರತಿನಿಧಿಸುತ್ತದೆ, y(t) t ಸಮಯದಲ್ಲಿ ಔಟ್ಪುಟ್ ಅನ್ನು ಪ್ರತಿನಿಧಿಸುತ್ತದೆ, A(t) t ಸಮಯದಲ್ಲಿ ಇನ್ಪುಟ್ ಅನ್ನು ಪ್ರತಿನಿಧಿಸುತ್ತದೆ ಮತ್ತು B(i) ಗುಣಾಂಕಗಳಾಗಿವೆ. ಸಮೀಕರಣದಲ್ಲಿನ t ಮತ್ತು i ನಿರ್ದಿಷ್ಟ ಸಮಯಕ್ಕೆ ಅನುಗುಣವಾಗಿರುತ್ತವೆ, ಆದ್ದರಿಂದ ಔಟ್ಪುಟ್ s ಅನ್ನು ಲೆಕ್ಕಾಚಾರ ಮಾಡಲುample y (t) ಸಮಯದಲ್ಲಿ t, ಇನ್ಪುಟ್ s ಗುಂಪುampಸಮಯದಲ್ಲಿ N ವಿಭಿನ್ನ ಬಿಂದುಗಳಲ್ಲಿ les, ಅಥವಾ A(n), A(n-1), A(n-2), … A(n-N+1) ಅಗತ್ಯವಿದೆ. N ಇನ್ಪುಟ್ s ಗುಂಪುampಲೆಸ್ ಅನ್ನು N ಗುಣಾಂಕಗಳಿಂದ ಗುಣಿಸಲಾಗುತ್ತದೆ ಮತ್ತು ಅಂತಿಮ ಫಲಿತಾಂಶ y ಅನ್ನು ರೂಪಿಸಲು ಒಟ್ಟಿಗೆ ಸೇರಿಸಲಾಗುತ್ತದೆ.
ಸಿಸ್ಟೊಲಿಕ್ ರಿಜಿಸ್ಟರ್ ಆರ್ಕಿಟೆಕ್ಚರ್ ಸಮ್-ಆಫ್-2 ಮತ್ತು ಸಮ್-ಆಫ್-4 ಮೋಡ್ಗಳಿಗೆ ಮಾತ್ರ ಲಭ್ಯವಿದೆ. ಎರಡೂ ಸಿಸ್ಟೊಲಿಕ್ ರಿಜಿಸ್ಟರ್ ಆರ್ಕಿಟೆಕ್ಚರ್ ಮೋಡ್ಗಳಿಗೆ, ಮೊದಲ ಚೈನ್ ಸಿಗ್ನಲ್ ಅನ್ನು 0 ಗೆ ಕಟ್ಟಬೇಕಾಗುತ್ತದೆ.
ಕೆಳಗಿನ ಚಿತ್ರವು 2 ಗುಣಕಗಳ ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ ನೋಂದಣಿ ಅನುಷ್ಠಾನವನ್ನು ತೋರಿಸುತ್ತದೆ.
ಚಿತ್ರ 16. 2 ಗುಣಕಗಳ ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ ನೋಂದಣಿ ಅನುಷ್ಠಾನ
ಸರಪಳಿ
a0
ಬಹು 0
+/-
b0
a1
ಬಹು 1
+/-
b1
ಫಲಿತಾಂಶ
ಎರಡು ಗುಣಕಗಳ ಮೊತ್ತವನ್ನು ಈ ಕೆಳಗಿನ ಸಮೀಕರಣದಲ್ಲಿ ವ್ಯಕ್ತಪಡಿಸಲಾಗಿದೆ.
ಕೆಳಗಿನ ಚಿತ್ರವು 4 ಗುಣಕಗಳ ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ ನೋಂದಣಿ ಅನುಷ್ಠಾನವನ್ನು ತೋರಿಸುತ್ತದೆ.
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 41
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಚಿತ್ರ 17. 4 ಗುಣಕಗಳ ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ ನೋಂದಣಿ ಅನುಷ್ಠಾನ
ಸರಪಳಿ
a0
ಬಹು 0
+/-
b0
a1
ಬಹು 1
+/-
b1
a2
ಬಹು 2
+/-
b2
a3
ಬಹು 3
+/-
b3
ಫಲಿತಾಂಶ
ನಾಲ್ಕು ಗುಣಕಗಳ ಮೊತ್ತವನ್ನು ಈ ಕೆಳಗಿನ ಸಮೀಕರಣದಲ್ಲಿ ವ್ಯಕ್ತಪಡಿಸಲಾಗಿದೆ. ಚಿತ್ರ 18. 4 ಗುಣಕಗಳ ಮೊತ್ತ
ಕೆಳಗಿನವು ಅಡ್ವಾನ್ ಅನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆtagಸಿಸ್ಟೊಲಿಕ್ ರಿಜಿಸ್ಟರ್ ಅನುಷ್ಠಾನದ es: · DSP ಸಂಪನ್ಮೂಲ ಬಳಕೆಯನ್ನು ಕಡಿಮೆ ಮಾಡುತ್ತದೆ · ಚೈನ್ ಆಡರ್ ರಚನೆಯನ್ನು ಬಳಸಿಕೊಂಡು DSP ಬ್ಲಾಕ್ನಲ್ಲಿ ಸಮರ್ಥ ಮ್ಯಾಪಿಂಗ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 42
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
8.1.3. ಪೂರ್ವ-ಲೋಡ್ ಸ್ಥಿರ
ಪೂರ್ವ-ಲೋಡ್ ಸ್ಥಿರಾಂಕವು ಸಂಚಯಕ ಒಪೆರಾಂಡ್ ಅನ್ನು ನಿಯಂತ್ರಿಸುತ್ತದೆ ಮತ್ತು ಸಂಚಯಕ ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಪೂರೈಸುತ್ತದೆ. ಮಾನ್ಯವಾದ LOADCONST_VALUE ವ್ಯಾಪ್ತಿಯು 0 ರಿಂದ ಇರುತ್ತದೆ. ಸ್ಥಿರ ಮೌಲ್ಯವು 64N ಗೆ ಸಮಾನವಾಗಿರುತ್ತದೆ, ಇಲ್ಲಿ N = LOADCONST_VALUE. LOADCONST_VALUE ಅನ್ನು 2 ಕ್ಕೆ ಹೊಂದಿಸಿದಾಗ, ಸ್ಥಿರ ಮೌಲ್ಯವು 64 ಗೆ ಸಮಾನವಾಗಿರುತ್ತದೆ. ಈ ಕಾರ್ಯವನ್ನು ಪಕ್ಷಪಾತದ ಪೂರ್ಣಾಂಕವಾಗಿ ಬಳಸಬಹುದು.
ಕೆಳಗಿನ ಚಿತ್ರವು ಪೂರ್ವ-ಲೋಡ್ ನಿರಂತರ ಅನುಷ್ಠಾನವನ್ನು ತೋರಿಸುತ್ತದೆ.
ಚಿತ್ರ 19. ಪೂರ್ವ-ಲೋಡ್ ಸ್ಥಿರ
ಸಂಚಯಕ ಪ್ರತಿಕ್ರಿಯೆ
ಸ್ಥಿರ
a0
ಬಹು 0
+/-
b0
a1
ಬಹು 1
+/b1
ಫಲಿತಾಂಶ
accum_sload sload_accum
ಇತರ ಗುಣಕ ಅಳವಡಿಕೆಗಳಿಗಾಗಿ ಈ ಕೆಳಗಿನ IP ಕೋರ್ಗಳನ್ನು ನೋಡಿ: · ALTMULT_ACCUM · ALTMEMMULT · LPM_MULT
8.1.4. ಡಬಲ್ ಅಕ್ಯುಮ್ಯುಲೇಟರ್
ಡಬಲ್ ಅಕ್ಯುಮ್ಯುಲೇಟರ್ ವೈಶಿಷ್ಟ್ಯವು ಸಂಚಯಕ ಪ್ರತಿಕ್ರಿಯೆ ಮಾರ್ಗದಲ್ಲಿ ಹೆಚ್ಚುವರಿ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸೇರಿಸುತ್ತದೆ. ಡಬಲ್ ಅಕ್ಯುಮ್ಯುಲೇಟರ್ ರಿಜಿಸ್ಟರ್ ಔಟ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಅನುಸರಿಸುತ್ತದೆ, ಇದರಲ್ಲಿ ಗಡಿಯಾರ, ಗಡಿಯಾರ ಸಕ್ರಿಯಗೊಳಿಸುವಿಕೆ ಮತ್ತು ಎಸಿಎಲ್ಆರ್ ಸೇರಿವೆ. ಹೆಚ್ಚುವರಿ ಸಂಚಯಕ ರಿಜಿಸ್ಟರ್ ಒಂದು ಸೈಕಲ್ ವಿಳಂಬದೊಂದಿಗೆ ಫಲಿತಾಂಶವನ್ನು ನೀಡುತ್ತದೆ. ಈ ವೈಶಿಷ್ಟ್ಯವು ಒಂದೇ ಸಂಪನ್ಮೂಲ ಎಣಿಕೆಯೊಂದಿಗೆ ಎರಡು ಸಂಚಯಕ ಚಾನಲ್ಗಳನ್ನು ಹೊಂದಲು ನಿಮಗೆ ಅನುವು ಮಾಡಿಕೊಡುತ್ತದೆ.
ಕೆಳಗಿನ ಚಿತ್ರವು ಡಬಲ್ ಶೇಖರಣೆಯ ಅನುಷ್ಠಾನವನ್ನು ತೋರಿಸುತ್ತದೆ.
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 43
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಚಿತ್ರ 20. ಡಬಲ್ ಅಕ್ಯುಮ್ಯುಲೇಟರ್
ಡಬಲ್ ಅಕ್ಯು ಮ್ಯುಲೇಟರ್ ರಿಜಿಸ್ಟರ್
ಅಕ್ಯು ಮ್ಯುಲೇಟರ್ ಫೀಡ್ಬಾ ಸಿಕೆ
a0
ಬಹು 0
+/-
b0
a1
ಬಹು 1
+/b1
ಔಟ್ಪುಟ್ ಫಲಿತಾಂಶ ಔಟ್ಪುಟ್ ರಿಜಿಸ್ಟರ್
8.2 ವೆರಿಲೋಗ್ HDL ಮಾದರಿ
ನೀವು Intel FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ ಅಥವಾ ALTERA_MULT_ADD ವೆರಿಲಾಗ್ HDL ಮೂಲಮಾದರಿಯನ್ನು ಕಾಣಬಹುದು file (altera_mult_add_rtl.v) ನಲ್ಲಿ ಲೈಬ್ರರೀಸ್ಮೆಗಾಫಂಕ್ಷನ್ಸ್ ಡೈರೆಕ್ಟರಿ.
8.3 VHDL ಘಟಕ ಘೋಷಣೆ
VHDL ಘಟಕ ಘೋಷಣೆಯು altera_lnsim_components.vhd ನಲ್ಲಿ ಇದೆ librariesvhdl altera_lnsim ಡೈರೆಕ್ಟರಿ.
8.4 VHDL LIBRARY_USE ಘೋಷಣೆ
ನೀವು VHDL ಕಾಂಪೊನೆಂಟ್ ಘೋಷಣೆಯನ್ನು ಬಳಸಿದರೆ VHDL ಲೈಬ್ರರಿ-ಬಳಕೆಯ ಘೋಷಣೆಯ ಅಗತ್ಯವಿಲ್ಲ.
ಲೈಬ್ರರಿ ಆಲ್ಟೆರಾ_ಎಂಎಫ್; altera_mf.altera_mf_components.all ಅನ್ನು ಬಳಸಿ;
8.5 ಸಂಕೇತಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕಗಳು ಮಲ್ಟಿಪ್ಲೈ ಆಡರ್ ಇಂಟೆಲ್ FPGA IPor ALTERA_MULT_ADD IP ಕೋರ್ನ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತವೆ.
ಕೋಷ್ಟಕ 28. ಆಡರ್ ಇಂಟೆಲ್ FPGA IPor ALTERA_MULT_ADD ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳನ್ನು ಗುಣಿಸಿ
ಸಿಗ್ನಲ್
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
dataa_0[]/dataa_1[]/
ಹೌದು
dataa_2[]/dataa_3[]
ಗುಣಕಕ್ಕೆ ಡೇಟಾ ಇನ್ಪುಟ್. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ [NUMBER_OF_MULTIPLIERS * WIDTH_A – 1 … 0] ಅಗಲ
ಮುಂದುವರೆಯಿತು…
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 44
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಸಿಗ್ನಲ್ datab_0[]/datab_1[]/ datab_2[]/datab_3[] datac_0[] /datac_1[]/ datac_2[]/datac_3[] ಗಡಿಯಾರ[1:0] aclr[1:0] sclr[1:0] ena [1:0] ಸಂಕೇತ
ಚಿಹ್ನೆ
ಸ್ಕ್ಯಾನಿನಾ[] ಅಕ್ಯುಮ್_ಸ್ಲೋಡ್
ಅಗತ್ಯವಿದೆ ಹೌದು ಇಲ್ಲ
ಇಲ್ಲ ಇಲ್ಲ ಇಲ್ಲ ಇಲ್ಲ
ಸಂ
ಇಲ್ಲ ನಂ
ವಿವರಣೆ
ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಂಕೇತಗಳಿಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಸಿಗ್ನಲ್ಗಳಿಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಗುಣಕಕ್ಕೆ ಡೇಟಾ ಇನ್ಪುಟ್. ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ [NUMBER_OF_MULTIPLIERS * WIDTH_B – 1 … 0] ಅಗಲ ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಿಗ್ನಲ್ಗಳಿಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಸಿಗ್ನಲ್ಗಳಿಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಗುಣಕಕ್ಕೆ ಡೇಟಾ ಇನ್ಪುಟ್. ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ [NUMBER_OF_MULTIPLIERS * WIDTH_C – 1, … 0] ಅಗಲ ಈ ಸಿಗ್ನಲ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಸೆಲೆಕ್ಟ್ ಪ್ರಿಡರ್ ಮೋಡ್ ಪ್ಯಾರಾಮೀಟರ್ಗಾಗಿ INPUT ಆಯ್ಕೆಮಾಡಿ. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಂಕೇತಗಳಿಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಸಿಗ್ನಲ್ಗಳಿಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಅನುಗುಣವಾದ ರಿಜಿಸ್ಟರ್ಗೆ ಗಡಿಯಾರ ಇನ್ಪುಟ್ ಪೋರ್ಟ್. ಈ ಸಿಗ್ನಲ್ ಅನ್ನು IP ಕೋರ್ನಲ್ಲಿ ಯಾವುದೇ ರಿಜಿಸ್ಟರ್ ಮೂಲಕ ಬಳಸಬಹುದು. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಂಕೇತಗಳಿಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಸಿಗ್ನಲ್ಗಳಿಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಅನುಗುಣವಾದ ರಿಜಿಸ್ಟರ್ಗೆ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಂಕೇತಗಳಿಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಸಿಗ್ನಲ್ಗಳಿಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಅನುಗುಣವಾದ ರಿಜಿಸ್ಟರ್ಗೆ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಂಕೇತಗಳಿಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯ X ಅನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಸಿಗ್ನಲ್ಗಳಿಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ
ಅನುಗುಣವಾದ ರಿಜಿಸ್ಟರ್ಗೆ ಸಿಗ್ನಲ್ ಇನ್ಪುಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಂಕೇತಗಳಿಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಸಿಗ್ನಲ್ಗಳಿಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಗುಣಕ ಇನ್ಪುಟ್ A ನ ಸಂಖ್ಯಾತ್ಮಕ ಪ್ರಾತಿನಿಧ್ಯವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಸಿಗ್ನಾ ಸಿಗ್ನಲ್ ಅಧಿಕವಾಗಿದ್ದರೆ, ಗುಣಕವು ಗುಣಕ ಇನ್ಪುಟ್ A ಸಂಕೇತವನ್ನು ಸಹಿ ಮಾಡಿದ ಸಂಖ್ಯೆ ಎಂದು ಪರಿಗಣಿಸುತ್ತದೆ. ಸಂಕೇತ ಸಂಕೇತವು ಕಡಿಮೆಯಾಗಿದ್ದರೆ, ಗುಣಕವು ಗುಣಕ ಇನ್ಪುಟ್ A ಸಂಕೇತವನ್ನು ಸಹಿ ಮಾಡದ ಸಂಖ್ಯೆ ಎಂದು ಪರಿಗಣಿಸುತ್ತದೆ. ಈ ಸಿಗ್ನಲ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳ ಇನ್ಪುಟ್ ಪ್ಯಾರಾಮೀಟರ್ಗಾಗಿ ಪ್ರಾತಿನಿಧ್ಯ ಸ್ವರೂಪ ಯಾವುದು ಎಂಬುದಕ್ಕೆ ವೇರಿಯಬಲ್ ಆಯ್ಕೆಮಾಡಿ. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಿಗ್ನಲ್ಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಇನ್ಪುಟ್ಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಮಲ್ಟಿಪ್ಲೈಯರ್ ಇನ್ಪುಟ್ ಬಿ ಸಿಗ್ನಲ್ನ ಸಂಖ್ಯಾತ್ಮಕ ಪ್ರಾತಿನಿಧ್ಯವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಸೈನ್ಬಿ ಸಿಗ್ನಲ್ ಅಧಿಕವಾಗಿದ್ದರೆ, ಗುಣಕವು ಗುಣಕ ಇನ್ಪುಟ್ ಬಿ ಸಿಗ್ನಲ್ ಅನ್ನು ಸಹಿ ಮಾಡಿದ ಎರಡರ ಪೂರಕ ಸಂಖ್ಯೆ ಎಂದು ಪರಿಗಣಿಸುತ್ತದೆ. ಸೈನ್ಬಿ ಸಿಗ್ನಲ್ ಕಡಿಮೆಯಿದ್ದರೆ, ಗುಣಕವು ಗುಣಕ ಇನ್ಪುಟ್ ಬಿ ಸಿಗ್ನಲ್ ಅನ್ನು ಸಹಿ ಮಾಡದ ಸಂಖ್ಯೆ ಎಂದು ಪರಿಗಣಿಸುತ್ತದೆ. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಿಗ್ನಲ್ಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಇನ್ಪುಟ್ಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಸ್ಕ್ಯಾನ್ ಚೈನ್ಗಾಗಿ ಇನ್ಪುಟ್ A. ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ [WIDTH_A – 1, … 0] ಅಗಲ. INPUT_SOURCE_A ಪ್ಯಾರಾಮೀಟರ್ SCANA ಮೌಲ್ಯವನ್ನು ಹೊಂದಿರುವಾಗ, ಸ್ಕ್ಯಾನಿನಾ[] ಸಂಕೇತದ ಅಗತ್ಯವಿದೆ.
ಸಂಚಯಕ ಮೌಲ್ಯವು ಸ್ಥಿರವಾಗಿದೆಯೇ ಎಂಬುದನ್ನು ಕ್ರಿಯಾತ್ಮಕವಾಗಿ ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಅಕ್ಯುಮ್_ಸ್ಲೋಡ್ ಸಿಗ್ನಲ್ ಕಡಿಮೆಯಿದ್ದರೆ, ಗುಣಕ ಔಟ್ಪುಟ್ ಅನ್ನು ಸಂಚಯಕಕ್ಕೆ ಲೋಡ್ ಮಾಡಲಾಗುತ್ತದೆ. accum_sload ಮತ್ತು sload_accum ಅನ್ನು ಏಕಕಾಲದಲ್ಲಿ ಬಳಸಬೇಡಿ.
ಮುಂದುವರೆಯಿತು…
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 45
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಸಿಗ್ನಲ್ ಸ್ಲೋಡ್_ಅಕ್ಯುಮ್
ಚೈನ್[] addnsub1
addnsub3
coefsel0[] coefsel1[] coefsel2[] coefsel3[]
ಅಗತ್ಯವಿರುವ ಸಂ
ಇಲ್ಲ ನಂ
ಸಂ
ಇಲ್ಲ ಇಲ್ಲ ಇಲ್ಲ ಇಲ್ಲ
ವಿವರಣೆ
ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಿಗ್ನಲ್ಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಇನ್ಪುಟ್ಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಸಂಚಯಕ ಮೌಲ್ಯವು ಸ್ಥಿರವಾಗಿದೆಯೇ ಎಂಬುದನ್ನು ಕ್ರಿಯಾತ್ಮಕವಾಗಿ ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. sload_accum ಸಿಗ್ನಲ್ ಅಧಿಕವಾಗಿದ್ದರೆ, ಗುಣಕ ಔಟ್ಪುಟ್ ಅನ್ನು ಸಂಚಯಕಕ್ಕೆ ಲೋಡ್ ಮಾಡಲಾಗುತ್ತದೆ. accum_sload ಮತ್ತು sload_accum ಅನ್ನು ಏಕಕಾಲದಲ್ಲಿ ಬಳಸಬೇಡಿ. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಿಗ್ನಲ್ಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಇನ್ಪುಟ್ಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಹಿಂದಿನ s ನಿಂದ ಆಡ್ಡರ್ ಫಲಿತಾಂಶ ಇನ್ಪುಟ್ ಬಸ್tagಇ. ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ [WIDTH_CHAININ – 1, … 0] ಅಗಲ.
ಮೊದಲ ಜೋಡಿ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳಿಂದ ಔಟ್ಪುಟ್ಗಳಿಗೆ ಸಂಕಲನ ಅಥವಾ ವ್ಯವಕಲನವನ್ನು ನಿರ್ವಹಿಸಿ. ಮೊದಲ ಜೋಡಿ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳಿಂದ ಔಟ್ಪುಟ್ಗಳನ್ನು ಸೇರಿಸಲು addnsub1 ಸಿಗ್ನಲ್ಗೆ ಇನ್ಪುಟ್ 1. ಮೊದಲ ಜೋಡಿ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳಿಂದ ಔಟ್ಪುಟ್ಗಳನ್ನು ಕಳೆಯಲು addnsub0 ಸಿಗ್ನಲ್ಗೆ ಇನ್ಪುಟ್ 1. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಿಗ್ನಲ್ಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಇನ್ಪುಟ್ಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಮೊದಲ ಜೋಡಿ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳಿಂದ ಔಟ್ಪುಟ್ಗಳಿಗೆ ಸಂಕಲನ ಅಥವಾ ವ್ಯವಕಲನವನ್ನು ನಿರ್ವಹಿಸಿ. ಎರಡನೇ ಜೋಡಿ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳಿಂದ ಔಟ್ಪುಟ್ಗಳನ್ನು ಸೇರಿಸಲು addnsub1 ಸಿಗ್ನಲ್ಗೆ ಇನ್ಪುಟ್ 3. ಮೊದಲ ಜೋಡಿ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳಿಂದ ಔಟ್ಪುಟ್ಗಳನ್ನು ಕಳೆಯಲು addnsub0 ಸಿಗ್ನಲ್ಗೆ 3 ಅನ್ನು ಇನ್ಪುಟ್ ಮಾಡಿ. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಿಗ್ನಲ್ಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಇನ್ಪುಟ್ಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಗುಣಾಂಕದ ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್[0:3] ಮೊದಲ ಗುಣಕಕ್ಕೆ. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಿಗ್ನಲ್ಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಇನ್ಪುಟ್ಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಎರಡನೇ ಗುಣಕಕ್ಕೆ ಗುಣಾಂಕ ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್[0:3]. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಿಗ್ನಲ್ಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಇನ್ಪುಟ್ಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಮೂರನೇ ಗುಣಕಕ್ಕೆ ಗುಣಾಂಕ ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್[0:3]. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಿಗ್ನಲ್ಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಇನ್ಪುಟ್ಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಗುಣಾಂಕದ ಇನ್ಪುಟ್ ಸಂಕೇತ [0:3] ನಾಲ್ಕನೇ ಗುಣಕಕ್ಕೆ. ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ಈ ಸಿಗ್ನಲ್ಗೆ ನಿರ್ಧರಿಸದ ಇನ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ಈ ಇನ್ಪುಟ್ಗೆ ನೀವು X ಮೌಲ್ಯವನ್ನು ಒದಗಿಸಿದಾಗ, ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಲ್ಲಿ X ಮೌಲ್ಯವನ್ನು ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಕೋಷ್ಟಕ 29. ಆಡರ್ ಇಂಟೆಲ್ FPGA IP ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳನ್ನು ಗುಣಿಸಿ
ಸಿಗ್ನಲ್
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಫಲಿತಾಂಶ []
ಹೌದು
ಮಲ್ಟಿಪ್ಲೈಯರ್ ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್. ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ [WIDTH_RESULT – 1 … 0] ಅಗಲ
ಈ IP ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಮಾದರಿಯು ನಿರ್ಧರಿಸದ ಔಟ್ಪುಟ್ ಮೌಲ್ಯವನ್ನು (X) ಬೆಂಬಲಿಸುತ್ತದೆ. ನೀವು X ಮೌಲ್ಯವನ್ನು ಇನ್ಪುಟ್ ಆಗಿ ಒದಗಿಸಿದಾಗ, X ಮೌಲ್ಯವನ್ನು ಈ ಸಿಗ್ನಲ್ನಲ್ಲಿ ಪ್ರಚಾರ ಮಾಡಲಾಗುತ್ತದೆ.
ಸ್ಕ್ಯಾನೌಟಾ []
ಸಂ
ಸ್ಕ್ಯಾನ್ ಚೈನ್ನ ಔಟ್ಪುಟ್ A. ಔಟ್ಪುಟ್ ಸಿಗ್ನಲ್ [WIDTH_A – 1..0] ಅಗಲ.
ಗುಣಕಗಳ ಸಂಖ್ಯೆಗಳಿಗಾಗಿ 2 ಕ್ಕಿಂತ ಹೆಚ್ಚಿನದನ್ನು ಆಯ್ಕೆಮಾಡಿ ಮತ್ತು ಈ ಸಿಗ್ನಲ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಪ್ಯಾರಾಮೀಟರ್ಗೆ ಸಂಪರ್ಕಗೊಂಡಿರುವ ಗುಣಕದ ಇನ್ಪುಟ್ A ಏನೆಂದು ಸ್ಕ್ಯಾನ್ ಚೈನ್ ಇನ್ಪುಟ್ ಆಯ್ಕೆಮಾಡಿ.
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 46
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
8.6. ನಿಯತಾಂಕಗಳು
8.6.1. ಸಾಮಾನ್ಯ ಟ್ಯಾಬ್
ಕೋಷ್ಟಕ 30. ಸಾಮಾನ್ಯ ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಗುಣಕಗಳ ಸಂಖ್ಯೆ ಎಷ್ಟು?
number_of_m 1 - 4 ಅಲ್ಟಿಪ್ಲೈಯರ್ಗಳು
A width_a ಇನ್ಪುಟ್ ಬಸ್ಗಳು ಎಷ್ಟು ಅಗಲವಾಗಿರಬೇಕು?
1 – 256
B width_b ಇನ್ಪುಟ್ ಬಸ್ಗಳು ಎಷ್ಟು ಅಗಲವಾಗಿರಬೇಕು?
1 – 256
'ಫಲಿತಾಂಶ' ಔಟ್ಪುಟ್ ಬಸ್ ಎಷ್ಟು ಅಗಲವಾಗಿರಬೇಕು?
ಅಗಲ_ಫಲಿತಾಂಶ
1 – 256
ಪ್ರತಿ ಗಡಿಯಾರಕ್ಕೆ ಸಂಯೋಜಿತ ಗಡಿಯಾರವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ
gui_associate ಆನ್ d_clock_enabl ಆಫ್ ಇ
8.6.2. ಹೆಚ್ಚುವರಿ ವಿಧಾನಗಳ ಟ್ಯಾಬ್
ಕೋಷ್ಟಕ 31. ಹೆಚ್ಚುವರಿ ವಿಧಾನಗಳ ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಔಟ್ಪುಟ್ ಕಾನ್ಫಿಗರೇಶನ್
ಆಡ್ಡರ್ ಘಟಕದ ಔಟ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸಿ
gui_output_re ಆನ್
ಗಿಸ್ಟರ್
ಆಫ್
ಗಡಿಯಾರ ಇನ್ಪುಟ್ನ ಮೂಲ ಯಾವುದು?
gui_output_re gister_clock
ಗಡಿಯಾರ 0 ಗಡಿಯಾರ 1 ಗಡಿಯಾರ 2
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_output_re gister_aclr
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_output_re gister_sclr
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
ಆಡ್ಡರ್ ಕಾರ್ಯಾಚರಣೆ
ಮೊದಲ ಜೋಡಿ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳ ಔಟ್ಪುಟ್ಗಳಲ್ಲಿ ಯಾವ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ನಿರ್ವಹಿಸಬೇಕು?
gui_multiplier 1_direction
ಸೇರಿಸಿ, ಉಪ, ವೇರಿಯಬಲ್
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ 1
16
ವಿವರಣೆ
ಒಟ್ಟಿಗೆ ಸೇರಿಸಬೇಕಾದ ಗುಣಕಗಳ ಸಂಖ್ಯೆ. ಮೌಲ್ಯಗಳು 1 ರಿಂದ 4. ಡೇಟಾ[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ಸೂಚಿಸಿ.
16
ಡೇಟಾಬ್[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ಸೂಚಿಸಿ.
32
ಫಲಿತಾಂಶ[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ಸೂಚಿಸಿ.
ಆಫ್
ಗಡಿಯಾರ ಸಕ್ರಿಯಗೊಳಿಸುವಿಕೆಯನ್ನು ರಚಿಸಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ
ಪ್ರತಿ ಗಡಿಯಾರಕ್ಕೆ.
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ವಿವರಣೆ
ಆಫ್ ಗಡಿಯಾರ 0
ಯಾವುದೂ ಇಲ್ಲ
ಆಡರ್ ಮಾಡ್ಯೂಲ್ನ ಔಟ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ.
ಔಟ್ಪುಟ್ ರೆಜಿಸ್ಟರ್ಗಳಿಗಾಗಿ ಗಡಿಯಾರದ ಮೂಲವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಮತ್ತು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು Clock0 , Clock1 ಅಥವಾ Clock2 ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಆಡ್ಡರ್ ಘಟಕದ ರಿಜಿಸ್ಟರ್ ಔಟ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಆಡರ್ ಔಟ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಆಡ್ಡರ್ ಘಟಕದ ರಿಜಿಸ್ಟರ್ ಔಟ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಆಡರ್ ಔಟ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಆಡ್ಡರ್ ಘಟಕದ ರಿಜಿಸ್ಟರ್ ಔಟ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಸೇರಿಸಿ
ಮೊದಲ ಮತ್ತು ಎರಡನೆಯ ಗುಣಕಗಳ ನಡುವಿನ ಔಟ್ಪುಟ್ಗಳನ್ನು ನಿರ್ವಹಿಸಲು ಸೇರ್ಪಡೆ ಅಥವಾ ವ್ಯವಕಲನ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ಆಯ್ಕೆಮಾಡಿ.
· ಸೇರ್ಪಡೆ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ನಿರ್ವಹಿಸಲು ಸೇರಿಸು ಆಯ್ಕೆಮಾಡಿ.
ವ್ಯವಕಲನ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ನಿರ್ವಹಿಸಲು SUB ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ.
· ಡೈನಾಮಿಕ್ ಸೇರ್ಪಡೆ/ವ್ಯವಕಲನ ನಿಯಂತ್ರಣಕ್ಕಾಗಿ addnsub1 ಪೋರ್ಟ್ ಅನ್ನು ಬಳಸಲು ವೇರಿಯಬಲ್ ಆಯ್ಕೆಮಾಡಿ.
ಮುಂದುವರೆಯಿತು…
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 47
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
'addnsub1' ಇನ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸಿ
gui_addnsub_ ಆನ್ ಮಲ್ಟಿಪ್ಲೈಯರ್_ರೆಗ್ ಆಫ್ ಐಸ್ಟರ್1
ಗಡಿಯಾರ ಇನ್ಪುಟ್ನ ಮೂಲ ಯಾವುದು?
gui_addnsub_ multiplier_reg ister1_clock
ಗಡಿಯಾರ 0 ಗಡಿಯಾರ 1 ಗಡಿಯಾರ 2
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_addnsub_ multiplier_aclr 1
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_addnsub_ multiplier_sclr 1
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
ಎರಡನೇ ಜೋಡಿ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳ ಔಟ್ಪುಟ್ಗಳಲ್ಲಿ ಯಾವ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ನಿರ್ವಹಿಸಬೇಕು?
gui_multiplier 3_direction
ಸೇರಿಸಿ, ಉಪ, ವೇರಿಯಬಲ್
'addnsub3' ಇನ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸಿ
gui_addnsub_ ಆನ್ ಮಲ್ಟಿಪ್ಲೈಯರ್_ರೆಗ್ ಆಫ್ ಐಸ್ಟರ್3
ಗಡಿಯಾರ ಇನ್ಪುಟ್ನ ಮೂಲ ಯಾವುದು?
gui_addnsub_ multiplier_reg ister3_clock
ಗಡಿಯಾರ 0 ಗಡಿಯಾರ 1 ಗಡಿಯಾರ 2
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ಆಫ್ ಗಡಿಯಾರ0 ಇಲ್ಲ ಯಾವುದೂ ಸೇರಿಸಬೇಡಿ
ಆಫ್ ಗಡಿಯಾರ 0
ವಿವರಣೆ
ವೇರಿಯಬಲ್ ಮೌಲ್ಯವನ್ನು ಆಯ್ಕೆ ಮಾಡಿದಾಗ: · ಹೆಚ್ಚಿನದಕ್ಕೆ addnsub1 ಸಿಗ್ನಲ್ ಅನ್ನು ಡ್ರೈವ್ ಮಾಡಿ
ಸೇರ್ಪಡೆ ಕಾರ್ಯಾಚರಣೆ. · addnsub1 ಸಿಗ್ನಲ್ ಅನ್ನು ಕಡಿಮೆ ಮಾಡಲು ಚಾಲನೆ ಮಾಡಿ
ವ್ಯವಕಲನ ಕಾರ್ಯಾಚರಣೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಎರಡಕ್ಕಿಂತ ಹೆಚ್ಚು ಗುಣಕಗಳನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
addnsub1 ಪೋರ್ಟ್ಗಾಗಿ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಮೊದಲ ಜೋಡಿ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳ ಔಟ್ಪುಟ್ಗಳಲ್ಲಿ ಯಾವ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ನಿರ್ವಹಿಸಬೇಕು ಎಂಬುದಕ್ಕೆ ನೀವು ವೇರಿಯಬಲ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
addnsub0 ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ಸೂಚಿಸಲು Clock1 , Clock2 ಅಥವಾ Clock1 ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ನೋಂದಣಿ 'addnsub1' ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
addnsub1 ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ನೋಂದಣಿ 'addnsub1' ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
addnsub1 ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ನೋಂದಣಿ 'addnsub1' ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಮೂರನೇ ಮತ್ತು ನಾಲ್ಕನೇ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳ ನಡುವಿನ ಔಟ್ಪುಟ್ಗಳನ್ನು ನಿರ್ವಹಿಸಲು ಸೇರ್ಪಡೆ ಅಥವಾ ವ್ಯವಕಲನ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ಆಯ್ಕೆಮಾಡಿ. · ಸೇರ್ಪಡೆ ಮಾಡಲು ಸೇರಿಸು ಆಯ್ಕೆಮಾಡಿ
ಕಾರ್ಯಾಚರಣೆ. · ವ್ಯವಕಲನವನ್ನು ನಿರ್ವಹಿಸಲು SUB ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ
ಕಾರ್ಯಾಚರಣೆ. · addnsub1 ಅನ್ನು ಬಳಸಲು ವೇರಿಯಬಲ್ ಆಯ್ಕೆಮಾಡಿ
ಡೈನಾಮಿಕ್ ಸೇರ್ಪಡೆ/ವ್ಯವಕಲನ ನಿಯಂತ್ರಣಕ್ಕಾಗಿ ಬಂದರು. ವೇರಿಯಬಲ್ ಮೌಲ್ಯವನ್ನು ಆಯ್ಕೆ ಮಾಡಿದಾಗ: · ಸೇರ್ಪಡೆ ಕಾರ್ಯಾಚರಣೆಗಾಗಿ addnsub1 ಸಿಗ್ನಲ್ ಅನ್ನು ಹೆಚ್ಚಿನದಕ್ಕೆ ಡ್ರೈವ್ ಮಾಡಿ. ವ್ಯವಕಲನ ಕಾರ್ಯಾಚರಣೆಗಾಗಿ addnsub1 ಸಂಕೇತವನ್ನು ಕಡಿಮೆಗೆ ಚಾಲನೆ ಮಾಡಿ. ಗುಣಕಗಳ ಸಂಖ್ಯೆ ಎಷ್ಟು ಎಂದು ನೀವು ಮೌಲ್ಯ 4 ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು? ಈ ನಿಯತಾಂಕವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು.
addnsub3 ಸಿಗ್ನಲ್ಗಾಗಿ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಎರಡನೇ ಜೋಡಿ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳ ಔಟ್ಪುಟ್ಗಳಲ್ಲಿ ಯಾವ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ನಿರ್ವಹಿಸಬೇಕು ಎಂಬುದಕ್ಕೆ ನೀವು ವೇರಿಯಬಲ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
addnsub0 ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ಸೂಚಿಸಲು Clock1 , Clock2 ಅಥವಾ Clock3 ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ನೋಂದಣಿ 'addnsub3' ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಮುಂದುವರೆಯಿತು…
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 48
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
gui_addnsub_ multiplier_aclr 3
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_addnsub_ multiplier_sclr 3
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
ಧ್ರುವೀಯತೆಯು `use_subadd' ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ
gui_use_subn ಆನ್
ಸೇರಿಸಿ
ಆಫ್
8.6.3. ಗುಣಕಗಳ ಟ್ಯಾಬ್
ಕೋಷ್ಟಕ 32. ಗುಣಕಗಳ ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಏನು
gui_represent
ಪ್ರಾತಿನಿಧ್ಯ ಸ್ವರೂಪ ation_a
ಮಲ್ಟಿಪ್ಲೈಯರ್ಸ್ ಎ ಇನ್ಪುಟ್ಗಳಿಗಾಗಿ?
ಸಹಿ, ಸಹಿ ಮಾಡದ, ವೇರಿಯಬಲ್
`ಸಿಗ್ನಾ' ಇನ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸಿ
gui_register_s ಆನ್
ಇಗ್ನಾ
ಆಫ್
ಗಡಿಯಾರ ಇನ್ಪುಟ್ನ ಮೂಲ ಯಾವುದು?
gui_register_s igna_clock
ಗಡಿಯಾರ 0 ಗಡಿಯಾರ 1 ಗಡಿಯಾರ 2
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_register_s igna_aclr
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_register_s igna_sclr
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
ಏನು
gui_represent
ಪ್ರಾತಿನಿಧ್ಯ ಸ್ವರೂಪ ation_b
ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳ ಬಿ ಇನ್ಪುಟ್ಗಳಿಗಾಗಿ?
ಸಹಿ, ಸಹಿ ಮಾಡದ, ವೇರಿಯಬಲ್
`signb' ಇನ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸಿ
gui_register_s ಆನ್
ignb
ಆಫ್
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ ಇಲ್ಲ
ಯಾವುದೂ ಇಲ್ಲ
ವಿವರಣೆ
addnsub3 ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ನೋಂದಣಿ 'addnsub3' ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
addnsub3 ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ನೋಂದಣಿ 'addnsub3' ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಆಫ್
ಕಾರ್ಯವನ್ನು ರಿವರ್ಸ್ ಮಾಡಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ
addnsub ಇನ್ಪುಟ್ ಪೋರ್ಟ್.
ವ್ಯವಕಲನ ಕಾರ್ಯಾಚರಣೆಗಾಗಿ addnsub ಅನ್ನು ಹೆಚ್ಚಿನದಕ್ಕೆ ಚಾಲನೆ ಮಾಡಿ.
ಸೇರ್ಪಡೆ ಕಾರ್ಯಾಚರಣೆಗಾಗಿ addnsub ಅನ್ನು ಕಡಿಮೆಗೆ ಚಾಲನೆ ಮಾಡಿ.
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ವಿವರಣೆ
ಅನ್ಸೈನ್ಡ್ ಗುಣಕ A ಇನ್ಪುಟ್ಗಾಗಿ ಪ್ರಾತಿನಿಧ್ಯ ಸ್ವರೂಪವನ್ನು ಸೂಚಿಸಿ.
ಆಫ್
ಸಂಕೇತವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ
ನೋಂದಾಯಿಸಿ.
ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳ ಎ ಇನ್ಪುಟ್ಗಳಿಗೆ ಪ್ರಾತಿನಿಧ್ಯ ಸ್ವರೂಪ ಯಾವುದು? ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನಿಯತಾಂಕ.
ಗಡಿಯಾರ 0
ಸಿಗ್ನಾ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಮತ್ತು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು Clock0 , Clock1 ಅಥವಾ Clock2 ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ.
ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ರಿಜಿಸ್ಟರ್ `ಸಿಗ್ನಾ' ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಯಾವುದೂ ಇಲ್ಲ
ಸಿಗ್ನಾ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ರಿಜಿಸ್ಟರ್ `ಸಿಗ್ನಾ' ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಯಾವುದೂ ಇಲ್ಲ
ಸಿಗ್ನಾ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ರಿಜಿಸ್ಟರ್ `ಸಿಗ್ನಾ' ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಅನ್ಸೈನ್ಡ್ ಮಲ್ಟಿಪ್ಲೈಯರ್ ಬಿ ಇನ್ಪುಟ್ಗಾಗಿ ಪ್ರಾತಿನಿಧ್ಯ ಸ್ವರೂಪವನ್ನು ಸೂಚಿಸಿ.
ಆಫ್
ಸೈನ್ಬಿಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ
ನೋಂದಾಯಿಸಿ.
ಮುಂದುವರೆಯಿತು…
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 49
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ಗಡಿಯಾರ ಇನ್ಪುಟ್ನ ಮೂಲ ಯಾವುದು?
gui_register_s ignb_clock
ಗಡಿಯಾರ 0 ಗಡಿಯಾರ 1 ಗಡಿಯಾರ 2
ಗಡಿಯಾರ 0
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_register_s ignb_aclr
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_register_s ignb_sclr
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
ಇನ್ಪುಟ್ ಕಾನ್ಫಿಗರೇಶನ್
ಗುಣಕಗಳ ಇನ್ಪುಟ್ A ಅನ್ನು ನೋಂದಾಯಿಸಿ
ಗಡಿಯಾರ ಇನ್ಪುಟ್ನ ಮೂಲ ಯಾವುದು?
gui_input_reg ಆನ್
ister_a
ಆಫ್
gui_input_reg ister_a_clock
ಗಡಿಯಾರ 0 ಗಡಿಯಾರ 1 ಗಡಿಯಾರ 2
ಯಾವುದೂ ಇಲ್ಲ
ಆಫ್ ಗಡಿಯಾರ 0
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_input_reg ister_a_aclr
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_input_reg ister_a_sclr
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
ಗುಣಕದ ಇನ್ಪುಟ್ ಬಿ ಅನ್ನು ನೋಂದಾಯಿಸಿ
ಗಡಿಯಾರ ಇನ್ಪುಟ್ನ ಮೂಲ ಯಾವುದು?
gui_input_reg ಆನ್
ister_b
ಆಫ್
gui_input_reg ister_b_clock
ಗಡಿಯಾರ 0 ಗಡಿಯಾರ 1 ಗಡಿಯಾರ 2
ಯಾವುದೂ ಇಲ್ಲ ಗಡಿಯಾರ 0
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_input_reg ister_b_aclr
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಯಾವುದೂ ಇಲ್ಲ
ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_input_reg ister_b_sclr
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
ಯಾವುದೂ ಇಲ್ಲ
ಮಲ್ಟಿಪ್ಲೈಯರ್ನ ಇನ್ಪುಟ್ A ಅನ್ನು ಯಾವುದಕ್ಕೆ ಸಂಪರ್ಕಿಸಲಾಗಿದೆ?
gui_multiplier ಮಲ್ಟಿಪ್ಲೈಯರ್ ಇನ್ಪುಟ್ ಮಲ್ಟಿಪ್ಲೈಯರ್
_a_input
ಚೈನ್ ಇನ್ಪುಟ್ ಇನ್ಪುಟ್ ಅನ್ನು ಸ್ಕ್ಯಾನ್ ಮಾಡಿ
ವಿವರಣೆ
ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳ ಬಿ ಇನ್ಪುಟ್ಗಳಿಗೆ ಪ್ರಾತಿನಿಧ್ಯ ಸ್ವರೂಪ ಯಾವುದು? ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನಿಯತಾಂಕ.
ಸೈನ್ಬಿ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಮತ್ತು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು Clock0 , Clock1 ಅಥವಾ Clock2 ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ನೋಂದಣಿ `signb' ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಸೈನ್ಬಿ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ನೋಂದಣಿ `signb' ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಸೈನ್ಬಿ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ನೋಂದಣಿ `signb' ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಡೇಟಾ ಇನ್ಪುಟ್ ಬಸ್ಗಾಗಿ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ.
ಡೇಟಾ ಇನ್ಪುಟ್ ಬಸ್ಗಾಗಿ ರಿಜಿಸ್ಟರ್ ಇನ್ಪುಟ್ ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಮತ್ತು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು Clock0, Clock1 ಅಥವಾ Clock2 ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಮಲ್ಟಿಪ್ಲೈಯರ್ನ ನೋಂದಣಿ ಇನ್ಪುಟ್ A ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಡೇಟಾ ಇನ್ಪುಟ್ ಬಸ್ಗಾಗಿ ರಿಜಿಸ್ಟರ್ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಮಲ್ಟಿಪ್ಲೈಯರ್ನ ನೋಂದಣಿ ಇನ್ಪುಟ್ A ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಡೇಟಾ ಇನ್ಪುಟ್ ಬಸ್ಗಾಗಿ ರಿಜಿಸ್ಟರ್ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಮಲ್ಟಿಪ್ಲೈಯರ್ನ ನೋಂದಣಿ ಇನ್ಪುಟ್ A ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಡೇಟಾಬ್ ಇನ್ಪುಟ್ ಬಸ್ಗಾಗಿ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ.
ಡೇಟಾಬ್ ಇನ್ಪುಟ್ ಬಸ್ಗಾಗಿ ರಿಜಿಸ್ಟರ್ ಇನ್ಪುಟ್ ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಮತ್ತು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು Clock0, Clock1 ಅಥವಾ Clock2 ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಮಲ್ಟಿಪ್ಲೈಯರ್ನ ರಿಜಿಸ್ಟರ್ ಇನ್ಪುಟ್ ಬಿ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಡೇಟಾಬ್ ಇನ್ಪುಟ್ ಬಸ್ಗಾಗಿ ರಿಜಿಸ್ಟರ್ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಮಲ್ಟಿಪ್ಲೈಯರ್ನ ರಿಜಿಸ್ಟರ್ ಇನ್ಪುಟ್ ಬಿ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಡೇಟಾಬ್ ಇನ್ಪುಟ್ ಬಸ್ಗಾಗಿ ರಿಜಿಸ್ಟರ್ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಮಲ್ಟಿಪ್ಲೈಯರ್ನ ರಿಜಿಸ್ಟರ್ ಇನ್ಪುಟ್ ಬಿ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಮಲ್ಟಿಪ್ಲೈಯರ್ನ ಇನ್ಪುಟ್ A ಗಾಗಿ ಇನ್ಪುಟ್ ಮೂಲವನ್ನು ಆಯ್ಕೆಮಾಡಿ.
ಮುಂದುವರೆಯಿತು…
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 50
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಸ್ಕ್ಯಾನ್ಔಟ್ ಎ ರಿಜಿಸ್ಟರ್ ಕಾನ್ಫಿಗರೇಶನ್
ಸ್ಕ್ಯಾನ್ ಸರಪಳಿಯ ಔಟ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸಿ
gui_scanouta ಆನ್
_ನೋಂದಣಿ
ಆಫ್
ಗಡಿಯಾರ ಇನ್ಪುಟ್ನ ಮೂಲ ಯಾವುದು?
gui_scanouta _register_cloc ಕೆ
ಗಡಿಯಾರ 0 ಗಡಿಯಾರ 1 ಗಡಿಯಾರ 2
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_scanouta _register_aclr
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_scanouta _register_sclr
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
8.6.4. ಪ್ರಿಡರ್ ಟ್ಯಾಬ್
ಕೋಷ್ಟಕ 33. ಪ್ರಿಡರ್ ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಪ್ರಿಡರ್ ಮೋಡ್ ಆಯ್ಕೆಮಾಡಿ
ಪ್ರೆಡರ್_ಮೊ ಡೆ
ಸರಳ, COEF, ಇನ್ಪುಟ್, ಸ್ಕ್ವೇರ್, ಸ್ಥಿರ
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ವಿವರಣೆ
ಗುಣಕಕ್ಕೆ ಮೂಲವಾಗಿ ಡೇಟಾ ಇನ್ಪುಟ್ ಬಸ್ ಅನ್ನು ಬಳಸಲು ಮಲ್ಟಿಪ್ಲೈಯರ್ ಇನ್ಪುಟ್ ಆಯ್ಕೆಮಾಡಿ. ಸ್ಕ್ಯಾನ್ ಇನ್ಪುಟ್ ಬಸ್ ಅನ್ನು ಗುಣಕಕ್ಕೆ ಮೂಲವಾಗಿ ಬಳಸಲು ಸ್ಕ್ಯಾನ್ ಚೈನ್ ಇನ್ಪುಟ್ ಆಯ್ಕೆಮಾಡಿ ಮತ್ತು ಸ್ಕ್ಯಾನ್ಔಟ್ ಔಟ್ಪುಟ್ ಬಸ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ. ಗುಣಕಗಳ ಸಂಖ್ಯೆ ಎಷ್ಟು ಎಂದು ನೀವು 2, 3 ಅಥವಾ 4 ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಿದಾಗ ಈ ಪ್ಯಾರಾಮೀಟರ್ ಲಭ್ಯವಿರುತ್ತದೆ? ನಿಯತಾಂಕ.
ಆಫ್ ಗಡಿಯಾರ0 ಇಲ್ಲ ಯಾವುದೂ ಇಲ್ಲ
ಸ್ಕ್ಯಾನೌಟಾ ಔಟ್ಪುಟ್ ಬಸ್ಗಾಗಿ ಔಟ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ.
ಮಲ್ಟಿಪ್ಲೈಯರ್ನ ಇನ್ಪುಟ್ A ಅನ್ನು ಯಾವುದಕ್ಕೆ ಸಂಪರ್ಕಿಸಲಾಗಿದೆ ಎಂಬುದಕ್ಕೆ ನೀವು ಸ್ಕ್ಯಾನ್ ಚೈನ್ ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು? ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನಿಯತಾಂಕ.
ಸ್ಕ್ಯಾನೌಟಾ ಔಟ್ಪುಟ್ ಬಸ್ಗಾಗಿ ರಿಜಿಸ್ಟರ್ ಇನ್ಪುಟ್ ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಮತ್ತು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು Clock0, Clock1 ಅಥವಾ Clock2 ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ.
ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಸ್ಕ್ಯಾನ್ ಚೈನ್ ಪ್ಯಾರಾಮೀಟರ್ನ ರಿಜಿಸ್ಟರ್ ಔಟ್ಪುಟ್ ಅನ್ನು ಆನ್ ಮಾಡಬೇಕು.
ಸ್ಕ್ಯಾನೌಟಾ ಔಟ್ಪುಟ್ ಬಸ್ಗಾಗಿ ರಿಜಿಸ್ಟರ್ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಸ್ಕ್ಯಾನ್ ಚೈನ್ ಪ್ಯಾರಾಮೀಟರ್ನ ರಿಜಿಸ್ಟರ್ ಔಟ್ಪುಟ್ ಅನ್ನು ಆನ್ ಮಾಡಬೇಕು.
ಸ್ಕ್ಯಾನೌಟಾ ಔಟ್ಪುಟ್ ಬಸ್ಗಾಗಿ ರಿಜಿಸ್ಟರ್ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಸ್ಕ್ಯಾನ್ ಚೈನ್ ಪ್ಯಾರಾಮೀಟರ್ನ ರಿಜಿಸ್ಟರ್ ಔಟ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ಸರಳ
ವಿವರಣೆ
ಪ್ರಿಡ್ಡರ್ ಮಾಡ್ಯೂಲ್ಗಾಗಿ ಆಪರೇಷನ್ ಮೋಡ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಸರಳ: ಈ ಮೋಡ್ ಪ್ರಿಡ್ಡರ್ ಅನ್ನು ಬೈಪಾಸ್ ಮಾಡುತ್ತದೆ. ಇದು ಡೀಫಾಲ್ಟ್ ಮೋಡ್ ಆಗಿದೆ. COEF: ಈ ಮೋಡ್ ಪ್ರಿಡ್ಡರ್ ಮತ್ತು ಕೋಫ್ಸೆಲ್ ಇನ್ಪುಟ್ ಬಸ್ನ ಔಟ್ಪುಟ್ ಅನ್ನು ಗುಣಕಕ್ಕೆ ಇನ್ಪುಟ್ಗಳಾಗಿ ಬಳಸುತ್ತದೆ. ಇನ್ಪುಟ್: ಈ ಮೋಡ್ ಪ್ರಿಡರ್ನ ಔಟ್ಪುಟ್ ಮತ್ತು ಡೇಟಾಕ್ ಇನ್ಪುಟ್ ಬಸ್ ಅನ್ನು ಗುಣಕಕ್ಕೆ ಇನ್ಪುಟ್ಗಳಾಗಿ ಬಳಸುತ್ತದೆ. ಸ್ಕ್ವೇರ್: ಈ ಮೋಡ್ ಪ್ರಿಡರ್ನ ಔಟ್ಪುಟ್ ಅನ್ನು ಗುಣಕಕ್ಕೆ ಎರಡೂ ಇನ್ಪುಟ್ಗಳಾಗಿ ಬಳಸುತ್ತದೆ.
ಮುಂದುವರೆಯಿತು…
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 51
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಪ್ರಿಡ್ಡರ್ ದಿಕ್ಕನ್ನು ಆಯ್ಕೆಮಾಡಿ
gui_preadder ADD,
_ದಿಕ್ಕು
SUB
C width_c ಇನ್ಪುಟ್ ಬಸ್ಗಳು ಎಷ್ಟು ಅಗಲವಾಗಿರಬೇಕು?
1 – 256
ಡೇಟಾ ಸಿ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಕಾನ್ಫಿಗರೇಶನ್
ಡಾಟಾಕ್ ಇನ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸಿ
gui_datac_inp ಆನ್
ut_register
ಆಫ್
ಗಡಿಯಾರ ಇನ್ಪುಟ್ನ ಮೂಲ ಯಾವುದು?
gui_datac_inp ut_register_cl ಒಕ್
ಗಡಿಯಾರ 0 ಗಡಿಯಾರ 1 ಗಡಿಯಾರ 2
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_datac_inp ut_register_a clr
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_datac_inp ut_register_sc lr
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
ಗುಣಾಂಕಗಳು
ಕೋಫ್ ಅಗಲ ಎಷ್ಟು ಅಗಲವಾಗಿರಬೇಕು?
ಅಗಲ_ಕೋಫ್
1 – 27
ಕೋಫ್ ರಿಜಿಸ್ಟರ್ ಕಾನ್ಫಿಗರೇಶನ್
ಕೋಫ್ಸೆಲ್ ಇನ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸಿ
gui_coef_regi ಆನ್
ಸ್ಟರ್
ಆಫ್
ಗಡಿಯಾರ ಇನ್ಪುಟ್ನ ಮೂಲ ಯಾವುದು?
gui_coef_regi ster_clock
ಗಡಿಯಾರ 0 ಗಡಿಯಾರ 1 ಗಡಿಯಾರ 2
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ಸೇರಿಸಿ
16
ವಿವರಣೆ
ಸ್ಥಿರ: ಈ ಮೋಡ್ ಗುಣಕಕ್ಕೆ ಇನ್ಪುಟ್ಗಳಾಗಿ ಪ್ರಿಡರ್ ಬೈಪಾಸ್ ಮತ್ತು ಕೋಫ್ಸೆಲ್ ಇನ್ಪುಟ್ ಬಸ್ನೊಂದಿಗೆ ಡೇಟಾ ಇನ್ಪುಟ್ ಬಸ್ ಅನ್ನು ಬಳಸುತ್ತದೆ.
ಪ್ರೆಡರ್ನ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು, ಆಯ್ಕೆ ಪ್ರಿಡರ್ ಮೋಡ್ಗಾಗಿ ಈ ಕೆಳಗಿನವುಗಳನ್ನು ಆಯ್ಕೆಮಾಡಿ: · COEF · INPUT · SQUARE ಅಥವಾ · CONSTANT
ಸಿ ಇನ್ಪುಟ್ ಬಸ್ಗಾಗಿ ಬಿಟ್ಗಳ ಸಂಖ್ಯೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಸೆಲೆಕ್ಟ್ ಪ್ರಿಡ್ಡರ್ ಮೋಡ್ಗಾಗಿ ನೀವು INPUT ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಗಡಿಯಾರ 0 ಅಲ್ಲ ಇಲ್ಲ
ಡೇಟಾಕ್ ಇನ್ಪುಟ್ ಬಸ್ಗಾಗಿ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ. ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಪ್ರಿಡ್ಡರ್ ಮೋಡ್ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಲು INPUT ಅನ್ನು ಹೊಂದಿಸಬೇಕು.
ಡೇಟಾಕ್ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಕ್ಲಾಕ್ ಸಿಗ್ನಲ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು Clock0, Clock1 ಅಥವಾ Clock2 ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ರಿಜಿಸ್ಟರ್ ಡೇಟಾಕ್ ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಡೇಟಾಕ್ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ರಿಜಿಸ್ಟರ್ ಡೇಟಾಕ್ ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಡೇಟಾಕ್ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ರಿಜಿಸ್ಟರ್ ಡೇಟಾಕ್ ಇನ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
18
ಗಾಗಿ ಬಿಟ್ಗಳ ಸಂಖ್ಯೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ
coefsel ಇನ್ಪುಟ್ ಬಸ್.
ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಪ್ರಿಡರ್ ಮೋಡ್ಗಾಗಿ COEF ಅಥವಾ CONSTANT ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಗಡಿಯಾರ 0 ರಂದು
coefsel ಇನ್ಪುಟ್ ಬಸ್ಗಾಗಿ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಪ್ರಿಡರ್ ಮೋಡ್ಗಾಗಿ COEF ಅಥವಾ CONSTANT ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
coefsel ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ಸೂಚಿಸಲು Clock0, Clock1 ಅಥವಾ Clock2 ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು coefsel ಇನ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸಿ ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಮುಂದುವರೆಯಿತು…
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 52
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
gui_coef_regi ster_aclr
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು
gui_coef_regi ster_sclr
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
ಗುಣಾಂಕ_0 ಸಂರಚನೆ
coef0_0 ರಿಂದ coef0_7
0x00000 0xFFFFFFF
ಗುಣಾಂಕ_1 ಸಂರಚನೆ
coef1_0 ರಿಂದ coef1_7
0x00000 0xFFFFFFF
ಗುಣಾಂಕ_2 ಸಂರಚನೆ
coef2_0 ರಿಂದ coef2_7
0x00000 0xFFFFFFF
ಗುಣಾಂಕ_3 ಸಂರಚನೆ
coef3_0 ರಿಂದ coef3_7
0x00000 0xFFFFFFF
8.6.5. ಸಂಚಯಕ ಟ್ಯಾಬ್
ಕೋಷ್ಟಕ 34. ಸಂಚಯಕ ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಸಂಚಯಕವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುವುದೇ?
ಸಂಚಯಕ
ಹೌದು ಅಲ್ಲ
ಸಂಚಯಕ ಕಾರ್ಯಾಚರಣೆಯ ಪ್ರಕಾರ ಯಾವುದು?
accum_directi ADD,
on
SUB
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ ಇಲ್ಲ
ಯಾವುದೂ ಇಲ್ಲ
0x0000000 0
0x0000000 0
0x0000000 0
0x0000000 0
ವಿವರಣೆ
coefsel ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು coefsel ಇನ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸಿ ಆಯ್ಕೆ ಮಾಡಬೇಕು.
coefsel ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು coefsel ಇನ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸಿ ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಈ ಮೊದಲ ಗುಣಕಕ್ಕೆ ಗುಣಾಂಕ ಮೌಲ್ಯಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಕೋಫ್ ಅಗಲ ಎಷ್ಟು ಅಗಲವಾಗಿರಬೇಕು ಎಂಬುದರಲ್ಲಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಿದಂತೆಯೇ ಬಿಟ್ಗಳ ಸಂಖ್ಯೆಯು ಒಂದೇ ಆಗಿರಬೇಕು? ನಿಯತಾಂಕ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಪ್ರಿಡರ್ ಮೋಡ್ಗಾಗಿ COEF ಅಥವಾ CONSTANT ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಈ ಎರಡನೇ ಗುಣಕಕ್ಕೆ ಗುಣಾಂಕ ಮೌಲ್ಯಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಕೋಫ್ ಅಗಲ ಎಷ್ಟು ಅಗಲವಾಗಿರಬೇಕು ಎಂಬುದರಲ್ಲಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಿದಂತೆಯೇ ಬಿಟ್ಗಳ ಸಂಖ್ಯೆಯು ಒಂದೇ ಆಗಿರಬೇಕು? ನಿಯತಾಂಕ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಪ್ರಿಡರ್ ಮೋಡ್ಗಾಗಿ COEF ಅಥವಾ CONSTANT ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಈ ಮೂರನೇ ಗುಣಕಕ್ಕೆ ಗುಣಾಂಕ ಮೌಲ್ಯಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಕೋಫ್ ಅಗಲ ಎಷ್ಟು ಅಗಲವಾಗಿರಬೇಕು ಎಂಬುದರಲ್ಲಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಿದಂತೆಯೇ ಬಿಟ್ಗಳ ಸಂಖ್ಯೆಯು ಒಂದೇ ಆಗಿರಬೇಕು? ನಿಯತಾಂಕ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಪ್ರಿಡರ್ ಮೋಡ್ಗಾಗಿ COEF ಅಥವಾ CONSTANT ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಈ ನಾಲ್ಕನೇ ಗುಣಕಕ್ಕೆ ಗುಣಾಂಕ ಮೌಲ್ಯಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಕೋಫ್ ಅಗಲ ಎಷ್ಟು ಅಗಲವಾಗಿರಬೇಕು ಎಂಬುದರಲ್ಲಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಿದಂತೆಯೇ ಬಿಟ್ಗಳ ಸಂಖ್ಯೆಯು ಒಂದೇ ಆಗಿರಬೇಕು? ನಿಯತಾಂಕ. ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಪ್ರಿಡರ್ ಮೋಡ್ಗಾಗಿ COEF ಅಥವಾ CONSTANT ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ NO
ಸೇರಿಸಿ
ವಿವರಣೆ
ಸಂಚಯಕವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಹೌದು ಆಯ್ಕೆಮಾಡಿ. ಸಂಚಯಕ ವೈಶಿಷ್ಟ್ಯವನ್ನು ಬಳಸುವಾಗ ನೀವು ಸೇರಿಸುವ ಘಟಕದ ರಿಜಿಸ್ಟರ್ ಔಟ್ಪುಟ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಸಂಚಯಕದ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ: · ಸಂಕಲನ ಕಾರ್ಯಾಚರಣೆಗಾಗಿ ಸೇರಿಸಿ · ವ್ಯವಕಲನ ಕಾರ್ಯಾಚರಣೆಗಾಗಿ SUB. ಸಂಚಯಕವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಹೌದು ಆಯ್ಕೆ ಮಾಡಬೇಕು? ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನಿಯತಾಂಕ.
ಮುಂದುವರೆಯಿತು…
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 53
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್
ಪೂರ್ವ ಲೋಡ್ ಸ್ಥಿರ ಪೂರ್ವ ಲೋಡ್ ಸ್ಥಿರ ಸಕ್ರಿಯಗೊಳಿಸಿ
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
gui_ena_prelo ಆನ್
ad_const
ಆಫ್
ಸಂಚಯ ಪೋರ್ಟ್ನ ಇನ್ಪುಟ್ ಯಾವುದಕ್ಕೆ ಸಂಪರ್ಕ ಹೊಂದಿದೆ?
gui_accumula ACCUM_SLOAD, te_port_select SLOAD_ACCUM
ಪೂರ್ವಲೋಡ್ ಲೋಡ್ಕಾನ್ಸ್ಟ್_ವಾಲ್ 0 – 64 ಗಾಗಿ ಮೌಲ್ಯವನ್ನು ಆಯ್ಕೆಮಾಡಿ
ಸ್ಥಿರ
ue
ಗಡಿಯಾರ ಇನ್ಪುಟ್ನ ಮೂಲ ಯಾವುದು?
gui_accum_sl oad_register_ ಗಡಿಯಾರ
ಗಡಿಯಾರ 0 ಗಡಿಯಾರ 1 ಗಡಿಯಾರ 2
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_accum_sl oad_register_ aclr
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_accum_sl oad_register_ sclr
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
ಡಬಲ್ ಅಕ್ಯುಮ್ಯುಲೇಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ
gui_double_a ಆನ್
ccum
ಆಫ್
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ವಿವರಣೆ
ಆಫ್
accum_sload ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಅಥವಾ
sload_accum ಸಂಕೇತಗಳು ಮತ್ತು ನೋಂದಣಿ ಇನ್ಪುಟ್
ಗೆ ಇನ್ಪುಟ್ ಅನ್ನು ಕ್ರಿಯಾತ್ಮಕವಾಗಿ ಆಯ್ಕೆ ಮಾಡಲು
ಸಂಚಯಕ.
accum_sload ಕಡಿಮೆ ಅಥವಾ sload_accum ಇದ್ದಾಗ, ಗುಣಕ ಔಟ್ಪುಟ್ ಅನ್ನು ಸಂಚಯಕಕ್ಕೆ ನೀಡಲಾಗುತ್ತದೆ.
accum_sload ಅಧಿಕವಾಗಿರುವಾಗ ಅಥವಾ sload_accum ಆಗಿದ್ದರೆ, ಬಳಕೆದಾರರು ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಪ್ರಿಲೋಡ್ ಸ್ಥಿರಾಂಕವು ಸಂಚಯಕಕ್ಕೆ ಫೀಡ್ ಆಗುತ್ತದೆ.
ಸಂಚಯಕವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಹೌದು ಆಯ್ಕೆ ಮಾಡಬೇಕು? ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನಿಯತಾಂಕ.
ACCUM_SL OAD
accum_sload/ sload_accum ಸಿಗ್ನಲ್ನ ನಡವಳಿಕೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ACCUM_SLOAD: ಅಕ್ಯುಮ್ಯುಲೇಟರ್ಗೆ ಗುಣಕ ಔಟ್ಪುಟ್ ಅನ್ನು ಲೋಡ್ ಮಾಡಲು ಅಕ್ಯುಮ್_ಸ್ಲೋಡ್ ಕಡಿಮೆ ಮಾಡಿ.
SLOAD_ACCUM: ಗುಣಕ ಔಟ್ಪುಟ್ ಅನ್ನು ಸಂಚಯಕಕ್ಕೆ ಲೋಡ್ ಮಾಡಲು sload_accum ಹೈ ಅನ್ನು ಡ್ರೈವ್ ಮಾಡಿ.
ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಪೂರ್ವಲೋಡ್ ಸ್ಥಿರ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಬೇಕು.
64
ಪೂರ್ವನಿಗದಿ ಸ್ಥಿರ ಮೌಲ್ಯವನ್ನು ಸೂಚಿಸಿ.
ಈ ಮೌಲ್ಯವು 2N ಆಗಿರಬಹುದು ಅಲ್ಲಿ N ಪೂರ್ವನಿಯೋಜಿತ ಸ್ಥಿರ ಮೌಲ್ಯವಾಗಿದೆ.
N=64 ಆಗಿರುವಾಗ, ಅದು ಸ್ಥಿರವಾದ ಶೂನ್ಯವನ್ನು ಪ್ರತಿನಿಧಿಸುತ್ತದೆ.
ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಪೂರ್ವಲೋಡ್ ಸ್ಥಿರ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಬೇಕು.
ಗಡಿಯಾರ 0
accum_sload/sload_accum ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ಸೂಚಿಸಲು Clock0 , Clock1 ಅಥವಾ Clock2 ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ.
ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಪೂರ್ವಲೋಡ್ ಸ್ಥಿರ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಬೇಕು.
ಯಾವುದೂ ಇಲ್ಲ
accum_sload/sload_accum ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಪೂರ್ವಲೋಡ್ ಸ್ಥಿರ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಬೇಕು.
ಯಾವುದೂ ಇಲ್ಲ
accum_sload/sload_accum ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಪೂರ್ವಲೋಡ್ ಸ್ಥಿರ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಬೇಕು.
ಆಫ್
ಡಬಲ್ ಅಕ್ಯುಮ್ಯುಲೇಟರ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುತ್ತದೆ.
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 54
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
8.6.6. ಸಿಸ್ಟೊಲಿಕ್/ಚೈನ್ಔಟ್ ಟ್ಯಾಬ್
ಕೋಷ್ಟಕ 35. ಸಿಸ್ಟೊಲಿಕ್/ಚೈನ್ಔಟ್ ಆಡ್ಡರ್ ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್ ಚೈನ್ಔಟ್ ಆಡ್ಡರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಚೈನ್ಔಟ್_ಹೌದು ಸೇರಿಸಿ,
er
ಸಂ
ಚೈನ್ಔಟ್ ಆಡ್ಡರ್ ಕಾರ್ಯಾಚರಣೆಯ ಪ್ರಕಾರ ಯಾವುದು?
chainout_add ADD,
er_direction
SUB
ಚೈನ್ಔಟ್ ಆಡ್ಡರ್ಗಾಗಿ `ನೆಗೆಟ್' ಇನ್ಪುಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುವುದೇ?
ಪೋರ್ಟ್_ನೆಗೇಟ್
PORT_USED, PORT_UNUSED
`ನಿರಾಕರಣೆ' ಇನ್ಪುಟ್ ಅನ್ನು ನೋಂದಾಯಿಸುವುದೇ? negate_regist er
ನೋಂದಾಯಿಸದ, ಗಡಿಯಾರ 0, ಗಡಿಯಾರ 1, ಗಡಿಯಾರ 2, ಗಡಿಯಾರ 3
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
negate_aclr
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
negate_sclr
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ
ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ ರೆಜಿಸ್ಟರ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ
gui_systolic_d ಆನ್
ಎಲೈ
ಆಫ್
ಗಡಿಯಾರ ಇನ್ಪುಟ್ನ ಮೂಲ ಯಾವುದು?
gui_systolic_d CLOCK0,
ಎಲೈ_ಗಡಿಯಾರ
ಗಡಿಯಾರ 1,
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ಸಂ
ವಿವರಣೆ
ಚೈನ್ಔಟ್ ಆಡ್ಡರ್ ಮಾಡ್ಯೂಲ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಹೌದು ಆಯ್ಕೆಮಾಡಿ.
ಸೇರಿಸಿ
ಚೈನ್ಔಟ್ ಆಡ್ಡರ್ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ವ್ಯವಕಲನ ಕಾರ್ಯಾಚರಣೆಗಾಗಿ, ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳ ಎ ಇನ್ಪುಟ್ಗಳಿಗೆ ಪ್ರಾತಿನಿಧ್ಯ ಸ್ವರೂಪ ಯಾವುದು? ಮತ್ತು ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳ ಬಿ ಇನ್ಪುಟ್ಗಳಿಗೆ ಪ್ರಾತಿನಿಧ್ಯದ ಸ್ವರೂಪ ಯಾವುದು? ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳ ಟ್ಯಾಬ್ನಲ್ಲಿ.
PORT_UN ಬಳಸಲಾಗಿದೆ
ನಿರಾಕರಣೆ ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು PORT_USED ಆಯ್ಕೆಮಾಡಿ.
ಚೈನ್ಔಟ್ ಆಡ್ಡರ್ ಅನ್ನು ನಿಷ್ಕ್ರಿಯಗೊಳಿಸಿದಾಗ ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅಮಾನ್ಯವಾಗಿದೆ.
UNREGIST ERED
ನೆಗೆಟ್ ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಾಗಿ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಮತ್ತು ನೆಗೆಟ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಕ್ಲಾಕ್ ಸಿಗ್ನಲ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ಗೆ ನೆಗೆಟ್ ಇನ್ಪುಟ್ ರಿಜಿಸ್ಟರ್ ಅಗತ್ಯವಿಲ್ಲದಿದ್ದರೆ ನೋಂದಾಯಿಸದೆ ಆಯ್ಕೆಮಾಡಿ
ನೀವು ಆಯ್ಕೆ ಮಾಡಿದಾಗ ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅಮಾನ್ಯವಾಗಿದೆ:
ಚೈನ್ಔಟ್ ಆಡ್ಡರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಅಥವಾ ಇಲ್ಲ
· ಚೈನ್ಔಟ್ ಆಡ್ಡರ್ಗಾಗಿ 'ನೆಗೆಟ್' ಇನ್ಪುಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು PORT_UNUSED? ನಿಯತಾಂಕ ಅಥವಾ
ಯಾವುದೂ ಇಲ್ಲ
ನಿರಾಕರಣೆ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ನೀವು ಆಯ್ಕೆ ಮಾಡಿದಾಗ ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅಮಾನ್ಯವಾಗಿದೆ:
ಚೈನ್ಔಟ್ ಆಡ್ಡರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಅಥವಾ ಇಲ್ಲ
· ಚೈನ್ಔಟ್ ಆಡ್ಡರ್ಗಾಗಿ 'ನೆಗೆಟ್' ಇನ್ಪುಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು PORT_UNUSED? ನಿಯತಾಂಕ ಅಥವಾ
ಯಾವುದೂ ಇಲ್ಲ
ನಿರಾಕರಣೆ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ನೀವು ಆಯ್ಕೆ ಮಾಡಿದಾಗ ಈ ಪ್ಯಾರಾಮೀಟರ್ ಅಮಾನ್ಯವಾಗಿದೆ:
ಚೈನ್ಔಟ್ ಆಡ್ಡರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಅಥವಾ ಇಲ್ಲ
· ಚೈನ್ಔಟ್ ಆಡ್ಡರ್ಗಾಗಿ 'ನೆಗೆಟ್' ಇನ್ಪುಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು PORT_UNUSED? ನಿಯತಾಂಕ ಅಥವಾ
CLOCK0 ಆಫ್ ಆಗಿದೆ
ಸಿಸ್ಟೊಲಿಕ್ ಮೋಡ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಈ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ. ಗುಣಕಗಳ ಸಂಖ್ಯೆ ಎಷ್ಟು ಎಂದು ನೀವು 2, ಅಥವಾ 4 ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಿದಾಗ ಈ ಪ್ಯಾರಾಮೀಟರ್ ಲಭ್ಯವಿರುತ್ತದೆ? ನಿಯತಾಂಕ. ಸಂಕೋಚನ ವಿಳಂಬ ರೆಜಿಸ್ಟರ್ಗಳನ್ನು ಬಳಸಲು ನೀವು ಆಡ್ಡರ್ ಯೂನಿಟ್ನ ರಿಜಿಸ್ಟರ್ ಔಟ್ಪುಟ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಬೇಕು.
ಸಂಕೋಚನ ವಿಳಂಬ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ಮುಂದುವರೆಯಿತು…
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 55
8. ಇಂಟೆಲ್ FPGA ಮಲ್ಟಿಪ್ಲೈ ಆಡ್ಡರ್ IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ಗಡಿಯಾರ 2,
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_systolic_d elay_aclr
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_systolic_d elay_sclr
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ಯಾವುದೂ ಇಲ್ಲ
ಯಾವುದೂ ಇಲ್ಲ
ವಿವರಣೆ
ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ ರೆಜಿಸ್ಟರ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಸಂಕೋಚನ ವಿಳಂಬ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ ರೆಜಿಸ್ಟರ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಆಯ್ಕೆ ಮಾಡಬೇಕು.
ಸಂಕೋಚನ ವಿಳಂಬ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ನೀವು ಸಿಸ್ಟೊಲಿಕ್ ವಿಳಂಬ ರೆಜಿಸ್ಟರ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಆಯ್ಕೆ ಮಾಡಬೇಕು.
8.6.7. ಪೈಪ್ಲೈನಿಂಗ್ ಟ್ಯಾಬ್
ಕೋಷ್ಟಕ 36. ಪೈಪ್ಲೈನಿಂಗ್ ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್ ಪೈಪ್ಲೈನಿಂಗ್ ಕಾನ್ಫಿಗರೇಶನ್
ಐಪಿ ರಚಿಸಲಾದ ಪ್ಯಾರಾಮೀಟರ್
ಮೌಲ್ಯ
ನೀವು ಇನ್ಪುಟ್ಗೆ ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸೇರಿಸಲು ಬಯಸುವಿರಾ?
gui_pipelining ಇಲ್ಲ, ಹೌದು
ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯ
ಸಂ
ದಯವಿಟ್ಟು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ
ಸುಪ್ತತೆ
ಸುಪ್ತ ಗಡಿಯಾರದ ಸಂಖ್ಯೆ
ಚಕ್ರಗಳು
0 ಗಿಂತ ಹೆಚ್ಚಿನ ಯಾವುದೇ ಮೌಲ್ಯ
ಗಡಿಯಾರ ಇನ್ಪುಟ್ನ ಮೂಲ ಯಾವುದು?
gui_input_late ncy_clock
ಗಡಿಯಾರ 0, ಗಡಿಯಾರ 1, ಗಡಿಯಾರ 2
ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_input_late ncy_aclr
ಯಾವುದೂ ಇಲ್ಲ ACLR0 ACLR1
ಸಿಂಕ್ರೊನಸ್ ಕ್ಲಿಯರ್ ಇನ್ಪುಟ್ಗೆ ಮೂಲ ಯಾವುದು?
gui_input_late ncy_sclr
ಯಾವುದೂ ಇಲ್ಲ SCLR0 SCLR1
ಗಡಿಯಾರ 0 ಇಲ್ಲ ಯಾವುದೂ ಇಲ್ಲ
ವಿವರಣೆ
ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ಗಳಿಗೆ ಹೆಚ್ಚುವರಿ ಹಂತದ ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಹೌದು ಆಯ್ಕೆಮಾಡಿ. ನೀವು 0 ಕ್ಕಿಂತ ಹೆಚ್ಚಿನ ಮೌಲ್ಯವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕು ದಯವಿಟ್ಟು ಲೇಟೆನ್ಸಿ ಗಡಿಯಾರ ಚಕ್ರಗಳ ಪ್ಯಾರಾಮೀಟರ್ ಸಂಖ್ಯೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
ಗಡಿಯಾರದ ಚಕ್ರಗಳಲ್ಲಿ ಬಯಸಿದ ಸುಪ್ತತೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಒಂದು ಹಂತದ ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ = ಗಡಿಯಾರ ಚಕ್ರದಲ್ಲಿ 1 ಲೇಟೆನ್ಸಿ. ನೀವು ಇನ್ಪುಟ್ಗೆ ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸೇರಿಸಲು ಬಯಸುವಿರಾ ಗಾಗಿ ನೀವು ಹೌದು ಆಯ್ಕೆ ಮಾಡಬೇಕು? ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು.
ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ ಇನ್ಪುಟ್ ಗಡಿಯಾರ ಸಂಕೇತವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು ಮತ್ತು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು Clock0 , Clock1 ಅಥವಾ Clock2 ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ. ನೀವು ಇನ್ಪುಟ್ಗೆ ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸೇರಿಸಲು ಬಯಸುವಿರಾ ಗಾಗಿ ನೀವು ಹೌದು ಆಯ್ಕೆ ಮಾಡಬೇಕು? ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು.
ಹೆಚ್ಚುವರಿ ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ರಿಜಿಸ್ಟರ್ ಅಸಮಕಾಲಿಕ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ನೀವು ಇನ್ಪುಟ್ಗೆ ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸೇರಿಸಲು ಬಯಸುವಿರಾ ಗಾಗಿ ನೀವು ಹೌದು ಆಯ್ಕೆ ಮಾಡಬೇಕು? ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು.
ಹೆಚ್ಚುವರಿ ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ಗಾಗಿ ರಿಜಿಸ್ಟರ್ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮೂಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ನೀವು ಇನ್ಪುಟ್ಗೆ ಪೈಪ್ಲೈನ್ ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಸೇರಿಸಲು ಬಯಸುವಿರಾ ಗಾಗಿ ನೀವು ಹೌದು ಆಯ್ಕೆ ಮಾಡಬೇಕು? ಈ ಆಯ್ಕೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಲು.
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 56
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
683490 | 2020.10.05 ಪ್ರತಿಕ್ರಿಯೆ ಕಳುಹಿಸಿ
9. ALTMEMMULT (ಮೆಮೊರಿ-ಆಧಾರಿತ ಸ್ಥಿರ ಗುಣಾಂಕ ಗುಣಕ) IP ಕೋರ್
ಗಮನ:
ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ 20.3 ರಲ್ಲಿ ಈ ಐಪಿಯ ಬೆಂಬಲವನ್ನು ಇಂಟೆಲ್ ತೆಗೆದುಹಾಕಿದೆ. ನಿಮ್ಮ ವಿನ್ಯಾಸದಲ್ಲಿನ IP ಕೋರ್ Intel Quartus Prime Pro ಆವೃತ್ತಿಯಲ್ಲಿನ ಸಾಧನಗಳನ್ನು ಗುರಿಪಡಿಸಿದರೆ, ನೀವು IP ಅನ್ನು LPM_MULT ಇಂಟೆಲ್ FPGA IP ನೊಂದಿಗೆ ಬದಲಾಯಿಸಬಹುದು ಅಥವಾ IP ಅನ್ನು ಮರು-ಉತ್ಪಾದಿಸಬಹುದು ಮತ್ತು Intel Quartus Prime Standard Edition ಸಾಫ್ಟ್ವೇರ್ ಅನ್ನು ಬಳಸಿಕೊಂಡು ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಬಹುದು.
ALTMEMMULT IP ಕೋರ್ ಅನ್ನು Intel FPGA ಗಳಲ್ಲಿ (M512, M4K, M9K, ಮತ್ತು MLAB ಮೆಮೊರಿ ಬ್ಲಾಕ್ಗಳೊಂದಿಗೆ) ಕಂಡುಬರುವ ಒಂಚಿಪ್ ಮೆಮೊರಿ ಬ್ಲಾಕ್ಗಳನ್ನು ಬಳಸಿಕೊಂಡು ಮೆಮೊರಿ-ಆಧಾರಿತ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳನ್ನು ರಚಿಸಲು ಬಳಸಲಾಗುತ್ತದೆ. ಲಾಜಿಕ್ ಎಲಿಮೆಂಟ್ಸ್ (LEs) ಅಥವಾ ಡೆಡಿಕೇಟೆಡ್ ಮಲ್ಟಿಪ್ಲೈಯರ್ ಸಂಪನ್ಮೂಲಗಳಲ್ಲಿ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸಲು ನೀವು ಸಾಕಷ್ಟು ಸಂಪನ್ಮೂಲಗಳನ್ನು ಹೊಂದಿಲ್ಲದಿದ್ದರೆ ಈ IP ಕೋರ್ ಉಪಯುಕ್ತವಾಗಿದೆ.
ALTMEMMULT IP ಕೋರ್ ಒಂದು ಗಡಿಯಾರದ ಅಗತ್ಯವಿರುವ ಸಿಂಕ್ರೊನಸ್ ಕಾರ್ಯವಾಗಿದೆ. ALTMEMMULT IP ಕೋರ್ ಒಂದು ನಿರ್ದಿಷ್ಟ ಪ್ಯಾರಾಮೀಟರ್ಗಳು ಮತ್ತು ವಿಶೇಷಣಗಳಿಗೆ ಸಾಧ್ಯವಾದಷ್ಟು ಚಿಕ್ಕದಾದ ಥ್ರೋಪುಟ್ ಮತ್ತು ಲೇಟೆನ್ಸಿಯೊಂದಿಗೆ ಗುಣಕವನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸುತ್ತದೆ.
ಕೆಳಗಿನ ಚಿತ್ರವು ALTMEMMULT IP ಕೋರ್ಗಾಗಿ ಪೋರ್ಟ್ಗಳನ್ನು ತೋರಿಸುತ್ತದೆ.
ಚಿತ್ರ 21. ALTMEMMULT ಬಂದರುಗಳು
ALTMEMULT
ಡೇಟಾ_ಇನ್[] sload_data coeff_in[]
ಫಲಿತಾಂಶ[] result_valid load_done
sload_coeff
sclr ಗಡಿಯಾರ
inst
ಪುಟ 71 ರಲ್ಲಿ ಸಂಬಂಧಿತ ಮಾಹಿತಿ ವೈಶಿಷ್ಟ್ಯಗಳು
9.1. ವೈಶಿಷ್ಟ್ಯಗಳು
ALTMEMMULT IP ಕೋರ್ ಈ ಕೆಳಗಿನ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ನೀಡುತ್ತದೆ: · ಕಂಡುಬರುವ ಆನ್-ಚಿಪ್ ಮೆಮೊರಿ ಬ್ಲಾಕ್ಗಳನ್ನು ಬಳಸಿಕೊಂಡು ಮೆಮೊರಿ ಆಧಾರಿತ ಮಲ್ಟಿಪ್ಲೈಯರ್ಗಳನ್ನು ಮಾತ್ರ ರಚಿಸುತ್ತದೆ
Intel FPGAs · 1 ಬಿಟ್ಗಳ ಡೇಟಾ ಅಗಲವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಸಹಿ ಮಾಡಿದ ಮತ್ತು ಸಹಿ ಮಾಡದ ಡೇಟಾ ಪ್ರಾತಿನಿಧ್ಯದ ಸ್ವರೂಪವನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ · ಸ್ಥಿರ ಔಟ್ಪುಟ್ ಲೇಟೆನ್ಸಿಯೊಂದಿಗೆ ಪೈಪ್ಲೈನ್ ಅನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ
ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.
ISO 9001:2015 ನೋಂದಾಯಿಸಲಾಗಿದೆ
9. ALTMEMMULT (ಮೆಮೊರಿ-ಆಧಾರಿತ ಸ್ಥಿರ ಗುಣಾಂಕ ಗುಣಕ) IP ಕೋರ್ 683490 | 2020.10.05
· ಯಾದೃಚ್ಛಿಕ-ಪ್ರವೇಶ ಮೆಮೊರಿಯಲ್ಲಿ ಮಲ್ಟಿಪಲ್ಸ್ ಸ್ಥಿರಾಂಕಗಳನ್ನು ಸಂಗ್ರಹಿಸುತ್ತದೆ (RAM)
· RAM ಬ್ಲಾಕ್ ಪ್ರಕಾರವನ್ನು ಆಯ್ಕೆ ಮಾಡಲು ಒಂದು ಆಯ್ಕೆಯನ್ನು ಒದಗಿಸುತ್ತದೆ
· ಐಚ್ಛಿಕ ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಮತ್ತು ಲೋಡ್-ನಿಯಂತ್ರಣ ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ
9.2 ವೆರಿಲೋಗ್ HDL ಮಾದರಿ
ಕೆಳಗಿನ ವೆರಿಲಾಗ್ HDL ಮೂಲಮಾದರಿಯು ವೆರಿಲಾಗ್ ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.v) altera_mf.v ರಲ್ಲಿ ಎಡಾ ಸಿಂಥೆಸಿಸ್ ಡೈರೆಕ್ಟರಿ.
ಮಾಡ್ಯೂಲ್ altmemmult #( ಪ್ಯಾರಾಮೀಟರ್ coeff_representation = "SIGNED", ನಿಯತಾಂಕ ಗುಣಾಂಕ0 = "UNUSED", ಪ್ಯಾರಾಮೀಟರ್ data_representation = "SIGNED", ನಿಯತಾಂಕ intended_device_family = "unused", ಪ್ಯಾರಾಮೀಟರ್ max_clock_cycles_per_result = 1, "coeff_representation = 1 ಪ್ಯಾರಾಮೀಟರ್ ”, ನಿಯತಾಂಕ total_latency = 1, ಪ್ಯಾರಾಮೀಟರ್ ಅಗಲ_c = 1, ಪ್ಯಾರಾಮೀಟರ್ ಅಗಲ_d = 1, ಪ್ಯಾರಾಮೀಟರ್ ಅಗಲ_r = 1, ಪ್ಯಾರಾಮೀಟರ್ ಅಗಲ_s = 1, ಪ್ಯಾರಾಮೀಟರ್ lpm_type = "altmemmult", ನಿಯತಾಂಕ lpm_hint = "ಬಳಕೆಯಾಗದ") (ಇನ್ಪುಟ್ ವೈರ್ ಗಡಿಯಾರ, ಇನ್ಪುಟ್ ವೈರ್ [width_c-1: 0]coeff_in, input wire [width_d-1:0] data_in, output wire load_done, output wire [width_r-1:0] result, output wire result_valid, input wire sclr, input wire [width_s-1:0] sel, input ತಂತಿ sload_coeff, ಇನ್ಪುಟ್ ವೈರ್ sload_data)/* ಸಂಶ್ಲೇಷಣೆ syn_black_box=1 */; ಎಂಡ್ ಮಾಡ್ಯೂಲ್
9.3 VHDL ಘಟಕ ಘೋಷಣೆ
VHDL ಘಟಕ ಘೋಷಣೆಯು VHDL ವಿನ್ಯಾಸದಲ್ಲಿದೆ File (.vhd) altera_mf_components.vhd in the ಗ್ರಂಥಾಲಯಗಳುvhdlaltera_mf ಡೈರೆಕ್ಟರಿ.
ಘಟಕ altmemmult ಜೆನೆರಿಕ್ ( coeff_representation:string := “SIGNED”; ಗುಣಾಂಕ0: string := “UNUSED”; data_representation:string := “SIGNED”; intended_device_family:string := “unused”; max_clock_cycles:1_per_resultal number := ram_block_type:= "AUTO"; width_d:natural:= 1; "altmemmult"); ಪೋರ್ಟ್ ( ಗಡಿಯಾರ: std_logic; coeff_in: std_logic_vector ನಲ್ಲಿ (width_c-1 downto 1) := (ಇತರರು => '0'); data_in: std_logic_vector (width_d-0 downto 1);
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 58
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
9. ALTMEMMULT (ಮೆಮೊರಿ-ಆಧಾರಿತ ಸ್ಥಿರ ಗುಣಾಂಕ ಗುಣಕ) IP ಕೋರ್ 683490 | 2020.10.05
load_done:out std_logic; ಫಲಿತಾಂಶ:ಔಟ್ std_logic_vector(width_r-1 downto 0); ಫಲಿತಾಂಶ_ಮಾನ್ಯ: ಔಟ್ std_logic; sclr:in std_logic := '0'; sel:ಇನ್ std_logic_vector(width_s-1 downto 0) := (ಇತರರು => '0'); sload_coeff: std_logic := '0'; sload_data: in std_logic := '0'); ಅಂತಿಮ ಘಟಕ;
9.4. ಬಂದರುಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕಗಳು ALTMEMMULT IP ಕೋರ್ಗಾಗಿ ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತವೆ.
ಕೋಷ್ಟಕ 37. ALTMEMMULT ಇನ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಗಡಿಯಾರ
ಹೌದು
ಗುಣಕಕ್ಕೆ ಗಡಿಯಾರ ಇನ್ಪುಟ್.
coeff_in[]
ಸಂ
ಗುಣಕಕ್ಕಾಗಿ ಗುಣಾಂಕ ಇನ್ಪುಟ್ ಪೋರ್ಟ್. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು WIDTH_C ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ಡೇಟಾ_ಇನ್[]
ಹೌದು
ಗುಣಕಕ್ಕೆ ಡೇಟಾ ಇನ್ಪುಟ್ ಪೋರ್ಟ್. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು WIDTH_D ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
sclr
ಸಂ
ಸಿಂಕ್ರೊನಸ್ ಸ್ಪಷ್ಟ ಇನ್ಪುಟ್. ಬಳಸದಿದ್ದರೆ, ಡೀಫಾಲ್ಟ್ ಮೌಲ್ಯವು ಹೆಚ್ಚು ಸಕ್ರಿಯವಾಗಿರುತ್ತದೆ.
ಮಾರಾಟ[]
ಸಂ
ಸ್ಥಿರ ಗುಣಾಂಕ ಆಯ್ಕೆ. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು WIDTH_S ಅನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ
ನಿಯತಾಂಕ ಮೌಲ್ಯ.
sload_coeff
ಸಂ
ಸಿಂಕ್ರೊನಸ್ ಲೋಡ್ ಗುಣಾಂಕ ಇನ್ಪುಟ್ ಪೋರ್ಟ್. ಪ್ರಸ್ತುತ ಆಯ್ಕೆಮಾಡಿದ ಗುಣಾಂಕ ಮೌಲ್ಯವನ್ನು coeff_in ಇನ್ಪುಟ್ನಲ್ಲಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಮೌಲ್ಯದೊಂದಿಗೆ ಬದಲಾಯಿಸುತ್ತದೆ.
sload_data
ಸಂ
ಸಿಂಕ್ರೊನಸ್ ಲೋಡ್ ಡೇಟಾ ಇನ್ಪುಟ್ ಪೋರ್ಟ್. ಹೊಸ ಗುಣಾಕಾರ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ಸೂಚಿಸುವ ಮತ್ತು ಅಸ್ತಿತ್ವದಲ್ಲಿರುವ ಯಾವುದೇ ಗುಣಾಕಾರ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ರದ್ದುಗೊಳಿಸುವ ಸಂಕೇತ. MAX_CLOCK_CYCLES_PER_RESULT ಪ್ಯಾರಾಮೀಟರ್ 1 ರ ಮೌಲ್ಯವನ್ನು ಹೊಂದಿದ್ದರೆ, sload_data ಇನ್ಪುಟ್ ಪೋರ್ಟ್ ಅನ್ನು ನಿರ್ಲಕ್ಷಿಸಲಾಗುತ್ತದೆ.
ಕೋಷ್ಟಕ 38. ALTMEMMULT ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ಗಳು
ಪೋರ್ಟ್ ಹೆಸರು
ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಫಲಿತಾಂಶ[]
ಹೌದು
ಮಲ್ಟಿಪ್ಲೈಯರ್ ಔಟ್ಪುಟ್ ಪೋರ್ಟ್. ಇನ್ಪುಟ್ ಪೋರ್ಟ್ನ ಗಾತ್ರವು WIDTH_R ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯವನ್ನು ಅವಲಂಬಿಸಿರುತ್ತದೆ.
ಫಲಿತಾಂಶ_ಮಾನ್ಯ
ಹೌದು
ಔಟ್ಪುಟ್ ಸಂಪೂರ್ಣ ಗುಣಾಕಾರದ ಮಾನ್ಯ ಫಲಿತಾಂಶವಾಗಿದ್ದಾಗ ಸೂಚಿಸುತ್ತದೆ. MAX_CLOCK_CYCLES_PER_RESULT ಪ್ಯಾರಾಮೀಟರ್ 1 ರ ಮೌಲ್ಯವನ್ನು ಹೊಂದಿದ್ದರೆ, result_valid ಔಟ್ಪುಟ್ ಪೋರ್ಟ್ ಅನ್ನು ಬಳಸಲಾಗುವುದಿಲ್ಲ.
ಲೋಡ್_ಮಾಡಲಾಗಿದೆ
ಸಂ
ಹೊಸ ಗುಣಾಂಕವು ಲೋಡ್ ಆಗುವುದನ್ನು ಪೂರ್ಣಗೊಳಿಸಿದಾಗ ಸೂಚಿಸುತ್ತದೆ. ಹೊಸ ಗುಣಾಂಕವು ಲೋಡ್ ಆಗುವುದನ್ನು ಪೂರ್ಣಗೊಳಿಸಿದಾಗ load_done ಸಂಕೇತವು ಪ್ರತಿಪಾದಿಸುತ್ತದೆ. load_done ಸಿಗ್ನಲ್ ಹೆಚ್ಚಿಲ್ಲದಿದ್ದರೆ, ಯಾವುದೇ ಇತರ ಗುಣಾಂಕ ಮೌಲ್ಯವನ್ನು ಮೆಮೊರಿಗೆ ಲೋಡ್ ಮಾಡಲಾಗುವುದಿಲ್ಲ.
9.5. ನಿಯತಾಂಕಗಳು
ಕೆಳಗಿನ ಕೋಷ್ಟಕವು ALTMEMMULT IP ಕೋರ್ಗಾಗಿ ನಿಯತಾಂಕಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ.
ಕೋಷ್ಟಕ 39.
WIDTH_D WIDTH_C
ALTMEMMULT ನಿಯತಾಂಕಗಳು
ಪ್ಯಾರಾಮೀಟರ್ ಹೆಸರು
ಟೈಪ್ ಅಗತ್ಯವಿದೆ
ವಿವರಣೆ
ಪೂರ್ಣಾಂಕ ಹೌದು
ಡೇಟಾ_ಇನ್[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ.
ಪೂರ್ಣಾಂಕ ಹೌದು
coeff_in[] ಪೋರ್ಟ್ನ ಅಗಲವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಮುಂದುವರೆಯಿತು…
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ಇಂಟೆಲ್ FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ 59
9. ALTMEMMULT (ಮೆಮೊರಿ-ಆಧಾರಿತ ಸ್ಥಿರ ಗುಣಾಂಕ ಗುಣಕ) IP ಕೋರ್ 683490 | 2020.10.05
ಪ್ಯಾರಾಮೀಟರ್ ಹೆಸರು WIDTH_R WIDTH
ದಾಖಲೆಗಳು / ಸಂಪನ್ಮೂಲಗಳು
![]() |
intel FPGA ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ IP ಕೋರ್ಗಳು [ಪಿಡಿಎಫ್] ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ ಎಫ್ಪಿಜಿಎ ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ ಐಪಿ ಕೋರ್ಗಳು, ಪೂರ್ಣಾಂಕ ಅಂಕಗಣಿತದ ಐಪಿ ಕೋರ್ಗಳು, ಅಂಕಗಣಿತ ಐಪಿ ಕೋರ್ಗಳು, ಐಪಿ ಕೋರ್ಗಳು |