កម្មវិធី intel RN-01080-22.1 Quartus Prime Standard Edition
ព័ត៌មានអំពីផលិតផល
ផលិតផលនេះគឺជាកម្មវិធី Intel Quartus Prime Standard Edition Software ជាពិសេសកំណែ 22.1 ។ វារួមបញ្ចូលការអាប់ដេតមុខងារ និងសុវត្ថិភាព ការជួសជុលកំហុស និងការផ្លាស់ប្តូរឥរិយាបថកម្មវិធី។ កម្មវិធីនេះត្រូវបានរចនាឡើងដើម្បីបង្កើនសុវត្ថិភាពនៃការដំឡើង Intel Quartus Prime របស់អ្នក និងដោះស្រាយសំណើសេវាកម្មអតិថិជន។
សម្រាប់ព័ត៌មានបន្ថែមអំពីការចេញផ្សាយកម្មវិធីនេះ សូមមើល Intel Quartus Prime Standard Edition README file ដែលមានទីតាំងនៅ /quartus/readme.txt ។ សម្រាប់ព័ត៌មានអំពីការគាំទ្រប្រព័ន្ធប្រតិបត្តិការ សូមចូលទៅកាន់ផ្នែកគាំទ្រប្រព័ន្ធប្រតិបត្តិការ Intel FPGA webទំព័រ។
កម្មវិធីនេះត្រូវបានចុះបញ្ជី ISO 9001: 2015 ។
ការណែនាំអំពីការប្រើប្រាស់ផលិតផល
- រក្សាកម្មវិធី Intel Quartus Prime របស់អ្នកឱ្យទាន់សម័យ ដើម្បីទទួលបានអត្ថប្រយោជន៍ពីមុខងារ បច្ចុប្បន្នភាពសុវត្ថិភាព ការជួសជុលកំហុស និងសុវត្ថិភាពដែលប្រសើរឡើង។
- ប្រសិនបើអ្នកមានសំណើសេវាកម្មអតិថិជនណាមួយ ឡើងវិញview បញ្ហាកម្មវិធីដែលបានដោះស្រាយនៅទំព័រទី 13 និងបំណះកម្មវិធីដែលរួមបញ្ចូលនៅក្នុងការចេញផ្សាយនេះនៅទំព័រទី 13 ដើម្បីពិនិត្យមើលថាតើកំណែនេះមានការជួសជុលសម្រាប់បញ្ហារបស់អ្នក។
- ទៅ view ការកំណត់ការចាត់តាំងលំនាំដើមសម្រាប់កំណែចុងក្រោយបំផុតនៃកម្មវិធី សូមយោងទៅលើការកំណត់លំនាំដើម Intel Quartus Prime File (.qdf) ដែលមានទីតាំងនៅ /quartus/bin/assignment_defaults.qdf.
- ប្រសិនបើលក្ខណៈពិសេស ឬមុខងារណាមួយត្រូវបានបដិសេធនៅក្នុងកំណែមុន សូមផ្ទេរឧបករណ៍ និងដំណើរការរបស់អ្នក ដើម្បីប្រើមុខងារ និងមុខងារជំនួស ឬជំនួស មុនពេលពួកវាត្រូវបានយកចេញ។
- មិនមានមុខងារ ឬមុខងារណាមួយត្រូវបានបដិសេធ ឬដកចេញនៅក្នុង Intel Quartus Prime Standard Edition Version 22.1។
Intel® Quartus® Prime Standard Edition កំណែ 22.1 កម្មវិធី និងកំណត់ចំណាំការចេញផ្សាយជំនួយឧបករណ៍
ឯកសារនេះផ្តល់នូវព័ត៌មានយឺតយ៉ាវអំពី Intel® Quartus® Prime Standard Edition Version 22.1។
សម្រាប់ព័ត៌មានបន្ថែមអំពីការចេញផ្សាយកម្មវិធីនេះ សូមមើល Intel Quartus Prime
កំណែស្តង់ដារ README file នៅទីតាំងខាងក្រោម៖ /quartus/readme.txt
សម្រាប់ព័ត៌មានអំពីការគាំទ្រប្រព័ន្ធប្រតិបត្តិការ សូមមើលខាងក្រោម web ទំព័រ៖ ការគាំទ្រប្រព័ន្ធប្រតិបត្តិការ Intel FPGA ។
ព័ត៌មានពាក់ព័ន្ធ
- កម្មវិធី Intel Quartus Prime Pro Edition និងកំណត់ចំណាំការចេញផ្សាយជំនួយឧបករណ៍
- Intel Quartus Prime Standard Edition កម្មវិធីរចនាសម្រាប់លីនុច
- Intel Quartus Prime Standard Edition កម្មវិធីរចនាសម្រាប់ Windows
- កម្មវិធីរចនា Intel Quartus Prime Lite Edition សម្រាប់លីនុច
- កម្មវិធីរចនា Intel Quartus Prime Lite Edition សម្រាប់ Windows
- ការដំឡើងកម្មវិធី Intel FPGA និងអាជ្ញាប័ណ្ណ
លក្ខណៈពិសេសថ្មី និងការកែលម្អ
Intel Quartus Prime Standard Edition Software កំណែ 22.1 រួមបញ្ចូលការអាប់ដេតមុខងារ និងសុវត្ថិភាព។ រក្សាកម្មវិធីរបស់អ្នកឱ្យទាន់សម័យ និងធ្វើតាមការណែនាំបច្ចេកទេសដែលជួយកែលម្អសុវត្ថិភាពនៃការដំឡើង Intel Quartus Prime របស់អ្នក។
កម្មវិធី Intel Quartus Prime Standard Edition កំណែ 22.1 រួមបញ្ចូលនូវមុខងារ និងការកែលម្អថ្មីៗដូចខាងក្រោម៖
- បានបន្ថែមការគាំទ្រសម្រាប់ប្រព័ន្ធដំណើរការ Nios® V/m ។
- សម្រាប់ឧបករណ៍ Intel MAX® 10 បានបន្ថែមការគាំទ្រ 1.8V LVDS ។
កែកំហុស
Intel Quartus Prime Standard Edition Software Version 22.1 ក៏រួមបញ្ចូលការកែកំហុសផងដែរ។ ឡើងវិញview បញ្ហាសូហ្វវែរត្រូវបានដោះស្រាយនៅទំព័រ 13 និងបំណះកម្មវិធីដែលរួមបញ្ចូលនៅក្នុងការចេញផ្សាយនេះនៅទំព័រ 13 ដើម្បីមើលថាតើកំណែនេះមានការជួសជុលសម្រាប់ ឬបើមិនដូច្នេះទេដោះស្រាយសំណើសេវាកម្មអតិថិជនរបស់អ្នក។
ការផ្លាស់ប្តូរឥរិយាបថកម្មវិធី
ផ្នែកនេះកត់ត្រានូវករណីដែលឥរិយាបថ និងការកំណត់លំនាំដើមនៃកម្មវិធី Intel Quartus Prime Standard Edition ត្រូវបានផ្លាស់ប្តូរពីការចេញផ្សាយមុនៗនៃកម្មវិធី Intel Quartus Prime Standard Edition ។
សូមមើលការកំណត់លំនាំដើម Intel Quartus Prime File (.qdf), /quartus/bin/assignment_defaults.qdf សម្រាប់បញ្ជីនៃការកំណត់ការកំណត់លំនាំដើមទាំងអស់សម្រាប់កំណែចុងក្រោយបំផុតនៃកម្មវិធី Intel Quartus Prime ។
មុខងារ និងមុខងារដែលបានបដិសេធ
- មុខងារ និងលក្ខណៈពិសេសដែលបានរាយក្នុងផ្នែកនេះត្រូវបានគេបដិសេធ ប៉ុន្តែមិនត្រូវបានដកចេញពី Intel Quartus Prime Standard Edition កំណែ 22.1 ឬមុននេះទេ។ ផ្ទេរឧបករណ៍ និងដំណើរការរបស់អ្នក ដើម្បីប្រើមុខងារ និងមុខងារជំនួស ឬជំនួស មុនពេលមុខងារ និងមុខងារដែលបានបដិសេធត្រូវបានដកចេញ។
- លក្ខណៈពិសេស និងមុខងារត្រូវបានបដិសេធជា Intel Quartus Prime Standard Edition កំណែ 22.1
- មិនមានលក្ខណៈពិសេស ឬមុខងាររបស់ Intel Quartus Prime ត្រូវបានបដិសេធនៅក្នុង Intel Quartus Prime Standard Edition Version 22.1។
- លក្ខណៈពិសេស និងមុខងារត្រូវបានបដិសេធជា Intel Quartus Prime Standard Edition កំណែ 21.1.1
- មិនមានលក្ខណៈពិសេស ឬមុខងាររបស់ Intel Quartus Prime ត្រូវបានបដិសេធនៅក្នុង Intel Quartus Prime Standard Edition Version 21.1.1។
- លក្ខណៈពិសេស និងមុខងារត្រូវបានបដិសេធជា Intel Quartus Prime Standard Edition កំណែ 21.1
- មិនមានលក្ខណៈពិសេស ឬមុខងាររបស់ Intel Quartus Prime ត្រូវបានបដិសេធនៅក្នុង Intel Quartus Prime Standard Edition Version 21.1។
- លក្ខណៈពិសេស និងមុខងារត្រូវបានបដិសេធជា Intel Quartus Prime Standard Edition កំណែ 20.1
- មិនមានលក្ខណៈពិសេស ឬមុខងាររបស់ Intel Quartus Prime ត្រូវបានបដិសេធនៅក្នុង Intel Quartus Prime Standard Edition Version 20.1។
មុខងារ និងមុខងារដែលបានលុបចេញ
- មុខងារ និងលក្ខណៈពិសេសដែលបានរាយក្នុងផ្នែកនេះត្រូវបានដកចេញពី Intel Quartus Prime Standard Edition Version 22.1 ឬមុននេះ។
- លក្ខណៈពិសេស និងមុខងារត្រូវបានដកចេញពី Intel Quartus Prime Standard Edition កំណែ 22.1
- មិនមានលក្ខណៈពិសេស ឬមុខងាររបស់ Intel Quartus Prime ត្រូវបានដកចេញពី Intel Quartus Prime Standard Edition Version 22.1 ទេ។
លក្ខណៈពិសេស និងមុខងារត្រូវបានដកចេញពី Intel Quartus Prime Standard Edition កំណែ 21.1.1
មិនមានលក្ខណៈពិសេស ឬមុខងាររបស់ Intel Quartus Prime ត្រូវបានដកចេញពី Intel Quartus Prime Standard Edition Version 21.1.1 ទេ។
លក្ខណៈពិសេស និងមុខងារត្រូវបានដកចេញពី Intel Quartus Prime Standard Edition កំណែ 21.1
- បានដកចេញ ModelSim*-Intel FPGA Edition និង ModelSim-Intel FPGA Starter Edition
កម្មវិធីក្លែងធ្វើនេះត្រូវបានជំនួសដោយ Questa*-Intel FPGA Edition និង Questa-Intel FPGA Starter Edition រៀងៗខ្លួន។ - បានដកចេញការគាំទ្រសម្រាប់កម្មវិធីក្លែងធ្វើ 32 ប៊ីត។
ការផ្លាស់ប្តូរនេះដកការគាំទ្រសម្រាប់ឧបករណ៍ក្លែងធ្វើដូចខាងក្រោម៖- Aldec* Active-HDL* (32-ប៊ីត)
ប្រើកំណែ 64 ប៊ីតនៃ Aldec Active-HDL ឬប្រើ Aldec Riviera-PRO* ជំនួសវិញ។ - អ្នកណែនាំក្រាហ្វិក* ModelSim PE
ប្រើ Siemens* EDA ModelSim SE ឬ Siemens EDA Questa Advanced Simulator ជំនួសវិញ។
- Aldec* Active-HDL* (32-ប៊ីត)
- បានដកការគាំទ្រ NicheStack TCP/IP Stack ។
- បានដកការគាំទ្រសម្រាប់ Cadence* Incisive* Enterprise Simulator (IES)។
លក្ខណៈពិសេស និងមុខងារត្រូវបានដកចេញពី Intel Quartus Prime Standard Edition កំណែ 20.1
ការគាំទ្រសម្រាប់កម្មវិធីខាងក្រោមត្រូវបានដកចេញពី Intel Quartus Prime Standard Edition កំណែ 20.1 និងក្រោយនេះ៖
- DSP Builder សម្រាប់ Intel FPGAs
- Intel FPGA SDK សម្រាប់ OpenCL™ (*)
- Intel FPGA RTE សម្រាប់ OpenCL
- Intel High-Level Synthesis (HLS) Compiler
ការគាំទ្រប្រព័ន្ធប្រតិបត្តិការ
ព័ត៌មានអំពីការគាំទ្រប្រព័ន្ធប្រតិបត្តិការសម្រាប់ Intel Quartus Prime Design Suite មាននៅលើទំព័រជំនួយប្រព័ន្ធប្រតិបត្តិការរបស់ Intel FPGA webគេហទំព័រ។
ការផ្លាស់ប្តូរការគាំទ្រប្រព័ន្ធប្រតិបត្តិការនៅក្នុង Intel Quartus Prime Standard Edition កំណែ 22.1
ការគាំទ្រសម្រាប់ប្រព័ន្ធប្រតិបត្តិការខាងក្រោមត្រូវបានបដិសេធនៅក្នុង Intel Quartus Prime Standard Edition កំណែ 22.1៖
- CentOS* Linux 8.2
- ម៉ាស៊ីនបម្រើវីនដូ * 2012
- ម៉ាស៊ីនបម្រើវីនដូ 2016
- Windows* 10 កំណែ 1607
ផ្ទេរការដំឡើង Windows 10 របស់អ្នកទៅ Windows 10 កំណែ 1809 ឬថ្មីជាងនេះ។
ការគាំទ្រសម្រាប់ប្រព័ន្ធប្រតិបត្តិការទាំងនេះអាចត្រូវបានដកចេញនៅក្នុងការចេញផ្សាយនាពេលអនាគត។
Intel Quartus Prime Standard Edition កំណែ 22.1 បានដកការគាំទ្រសម្រាប់ប្រព័ន្ធប្រតិបត្តិការខាងក្រោម៖ - CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1
- Red Hat* Enterprise Linux* 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1
ការផ្លាស់ប្តូរការគាំទ្រប្រព័ន្ធប្រតិបត្តិការនៅក្នុង Intel Quartus Prime Standard Edition កំណែ 21.1.1
មិនមានការផ្លាស់ប្តូរការគាំទ្រប្រព័ន្ធប្រតិបត្តិការនៅក្នុង Intel Quartus Prime Standard Edition កំណែ 21.1.1 ទេ។
ការផ្លាស់ប្តូរការគាំទ្រប្រព័ន្ធប្រតិបត្តិការនៅក្នុង Intel Quartus Prime Standard Edition កំណែ 21.1
Intel Quartus Prime Standard Edition Version 21.1 បានបន្ថែមការគាំទ្រសម្រាប់ប្រព័ន្ធប្រតិបត្តិការដូចខាងក្រោម៖
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE* Linux Enterprise Server 15
- អ៊ូប៊ុនទូ * លីនុច 20 LTS
- ម៉ាស៊ីនបម្រើវីនដូ 2019
ការគាំទ្រសម្រាប់ប្រព័ន្ធប្រតិបត្តិការខាងក្រោមត្រូវបានបដិសេធជា Intel Quartus Prime Standard Edition កំណែ 21.1 ។ ការគាំទ្រសម្រាប់ប្រព័ន្ធប្រតិបត្តិការទាំងនេះអាចត្រូវបានដកចេញនៅក្នុងការចេញផ្សាយនាពេលខាងមុខ៖
- CentOS 7.5
- Red Hat Enterprise Linux 7
Intel Quartus Prime Standard Edition កំណែ 21.1 បានដកការគាំទ្រសម្រាប់ប្រព័ន្ធប្រតិបត្តិការខាងក្រោម៖ - Red Hat Enterprise Linux 6
- អ៊ូប៊ុនទូលីនុច 14 LTS
ព័ត៌មានពាក់ព័ន្ធ
ការគាំទ្រប្រព័ន្ធប្រតិបត្តិការ
ការណែនាំអំពីទំហំថាស និងអង្គចងចាំ
ការដំឡើងពេញលេញនៃកម្មវិធី Intel Quartus Prime Standard Edition ទាមទារទំហំថាសដែលមានរហូតដល់ 40 GB។
កំណត់រចនាសម្ព័ន្ធប្រព័ន្ធរបស់អ្នកដើម្បីផ្តល់អង្គចងចាំនិម្មិតបន្ថែមស្មើនឹង RAM រាងកាយដែលបានណែនាំ ដែលតម្រូវឱ្យដំណើរការការរចនារបស់អ្នក។ អង្គចងចាំនិម្មិតបន្ថែមនេះមានប្រសិទ្ធភាពទ្វេដងនៃអង្គចងចាំដែលមានប្រសិទ្ធភាពសរុបដែលមានដើម្បីដំណើរការការរចនារបស់អ្នក។
ចំណាំ៖ អង្គចងចាំនិម្មិតកម្រិតខ្ពស់អាចលើសពីការណែនាំទាំងនេះ។ ការណែនាំទាំងនេះគឺផ្អែកលើបរិមាណនៃអង្គចងចាំរាងកាយដែលត្រូវការដើម្បីសម្រេចបានរយៈពេលដំណើរការក្នុងរង្វង់ 10% នៃចំនួនដែលសម្រេចបាននៅលើផ្នែករឹងជាមួយនឹងចំនួន RAM ដែលគ្មានកំណត់។
តារាងទី 1. តម្រូវការអង្គចងចាំសម្រាប់ដំណើរការរចនាArria®
តម្រូវការទាំងនេះគឺដូចគ្នាសម្រាប់ទាំងការដំឡើងវីនដូ និងលីនុច។
គ្រួសារ | ឧបករណ៍ | RAM រាងកាយដែលបានណែនាំ |
Intel Arria® 10 | 10AT115, 10AX115 | 48 ជីកាបៃ |
10AT090, 10AX090 | 44 ជីកាបៃ | |
10AS066, 10AX066 | 32 ជីកាបៃ | |
10AS057, 10AX057 | 30 ជីកាបៃ | |
10AS048, 10AX048 | 28 ជីកាបៃ | |
10AX032, 10AS032 | 24 ជីកាបៃ | |
10AX027, 10AS027 | 22 ជីកាបៃ | |
10AX022, 10AS022 | 20 ជីកាបៃ | |
10AX016, 10AS016 | 18 ជីកាបៃ | |
អារីយ៉ា វី | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 ជីកាបៃ |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 ជីកាបៃ | |
5AGXA7, 5AGTC7 | 10 ជីកាបៃ | |
5AGTC3, 5AGXA3, 5AGXA5 | 8 ជីកាបៃ | |
5AGXA1 | 6 ជីកាបៃ | |
អារីយ៉ា V GZ | 5AGZE7 | 16 ជីកាបៃ |
5AGZE3, 5AGZE5 | 12 ជីកាបៃ | |
5AGZE1 | 8 ជីកាបៃ | |
Arria II GX | EP2AGX260 | 6 ជីកាបៃ |
EP2AGX95, EP2AGX125, EP2AGX190 | 4 ជីកាបៃ | |
EP2AGX65 | 2 ជីកាបៃ | |
EP2AGX45 | 1.5 ជីកាបៃ | |
Arria II GZ | EP2AGZ350 | 8 ជីកាបៃ |
EP2AGZ300 | 6 ជីកាបៃ | |
EP2AGZ225 | 4 ជីកាបៃ |
តារាងទី 2. តម្រូវការអង្គចងចាំសម្រាប់ដំណើរការការរចនា Cyclone®
តម្រូវការទាំងនេះគឺដូចគ្នាសម្រាប់ទាំងការដំឡើងវីនដូ និងលីនុច។
គ្រួសារ | ឧបករណ៍ | RAM រាងកាយដែលបានណែនាំ |
Intel Cyclone® 10 LP | ២ ស៊ី ៧៧៧ | 1.5 ជីកាបៃ |
10CL080, 10CL055 | 1 ជីកាបៃ | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 មេកាបៃ | |
ព្យុះស៊ីក្លូន V | 5CEA9, 5CGTD9, 5CGXC9 | 8 ជីកាបៃ |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5,
5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, 5CSEA4, 5CSXC2, 5CSXC4, 5CSTD6 |
6 ជីកាបៃ | |
ព្យុះស៊ីក្លូន IV GX | EP4CGX110, EP4CGX150 | 2 ជីកាបៃ |
EP4CGX50, EP4CGX75 | 1.5 ជីកាបៃ | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 មេកាបៃ | |
ព្យុះស៊ីក្លូន IV អ៊ី | EP4CE115 | 1.5 ជីកាបៃ |
EP4CE55, EP4CE75 | 1 ជីកាបៃ | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 មេកាបៃ |
តារាងទី 3. តម្រូវការអង្គចងចាំសម្រាប់ដំណើរការ MAX Designs
តម្រូវការទាំងនេះគឺដូចគ្នាសម្រាប់ទាំងការដំឡើងវីនដូ និងលីនុច។
គ្រួសារ | ឧបករណ៍ | RAM រាងកាយដែលបានណែនាំ |
Intel MAX 10 | 10M50 | 2 ជីកាបៃ |
10M16 | 2 ជីកាបៃ | |
10M25 | 2 ជីកាបៃ | |
10M40 | 2 ជីកាបៃ | |
10M04, 10M08 | 1 ជីកាបៃ | |
10M02 | 512 មេកាបៃ | |
MAX V | ទាំងអស់។ | 512 មេកាបៃ |
MAX II | ទាំងអស់។ | 512 មេកាបៃ |
តារាងទី 4. តម្រូវការអង្គចងចាំសម្រាប់ដំណើរការការរចនាStratix®
តម្រូវការទាំងនេះគឺដូចគ្នាសម្រាប់ទាំងការដំឡើងវីនដូ និងលីនុច។
គ្រួសារ | ឧបករណ៍ | RAM រាងកាយដែលបានណែនាំ |
Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 ជីកាបៃ |
5SGXA9, 5SEE9 | 24 ជីកាបៃ | |
5SGTC7, 5SGXA7, 5SGSD8 | 20 ជីកាបៃ | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 ជីកាបៃ | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 ជីកាបៃ | |
5SGSD3 | 8 ជីកាបៃ | |
Stratix IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 ជីកាបៃ |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 ជីកាបៃ | |
EP4SGX290 | 6 ជីកាបៃ | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 ជីកាបៃ | |
EP4SGX70 | 2 ជីកាបៃ |
ការគាំទ្រឧបករណ៍ និងស្ថានភាពដកចេញ
ឧបករណ៍ផលិតកម្មទាំងអស់បច្ចុប្បន្នមានការចងក្រងពេញលេញ ការក្លែងធ្វើ ការវិភាគពេលវេលា និងការគាំទ្រកម្មវិធី។
ការផ្លាស់ប្តូរនៅក្នុងការគាំទ្រឧបករណ៍
គំរូពេលវេលា គំរូថាមពល និងស្ថានភាពឧបករណ៍
តារាងទី 5. គំរូពេលវេលា គំរូថាមពល និងស្ថានភាពឧបករណ៍សម្រាប់ឧបករណ៍ Intel Arria 10
គ្រួសារឧបករណ៍ | ឧបករណ៍ | ស្ថានភាពគំរូពេលវេលា | ស្ថានភាពម៉ូដែលថាមពល | ស្ថានភាពឧបករណ៍ |
ក្រុមហ៊ុន Intel Arria ១០ | 10AX016, 10AS016, 10AX022, 10AS022,
10AX027, 10AS027, 10AX032, 10AS032 |
ចុងក្រោយ – 16.1 (3)
(4) |
ចុងក្រោយ - 17.0 | ចុងក្រោយ - 17.0 |
10AX048, 10AS048 | ចុងក្រោយ – 16.0.2 (4) | ចុងក្រោយ - 17.0 | ចុងក្រោយ - 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066,
10AT090, 10AX090 |
ចុងក្រោយ – 16.0.1 (4) | ចុងក្រោយ - 16.0.1 | ចុងក្រោយ - 16.0.1 | |
10AX115, 10AT115 | ចុងក្រោយ – 16.0 (4) | ចុងក្រោយ - 16.0 | ចុងក្រោយ - 16.0 |
តារាងទី 6. គំរូពេលវេលា គំរូថាមពល និងស្ថានភាពឧបករណ៍សម្រាប់ឧបករណ៍ Intel Cyclone 10
គ្រួសារឧបករណ៍ | ឧបករណ៍ | ស្ថានភាពគំរូពេលវេលា | ស្ថានភាពម៉ូដែលថាមពល | ស្ថានភាពឧបករណ៍ |
ក្រុមហ៊ុន Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025,
10CL040, 10CL055, 10CL080, 10CL120 |
ចុងក្រោយ - 17.0 | ចុងក្រោយ - 17.1 | ចុងក្រោយ - 17.1 |
តារាងទី 7. គំរូពេលវេលា ម៉ូដែលថាមពល និងស្ថានភាពឧបករណ៍សម្រាប់ឧបករណ៍ Intel MAX 10
គ្រួសារឧបករណ៍ | ឧបករណ៍ | ស្ថានភាពគំរូពេលវេលា | ស្ថានភាពម៉ូដែលថាមពល | ស្ថានភាពឧបករណ៍ |
Intel MAX 10 | 10M02, 10M04, 10M08 | ចុងក្រោយ – 15.1(5) | ចុងក្រោយ - 15.1 | ចុងក្រោយ - 15.1 |
10M16, 10M25, 10M40, 10M50 | ចុងក្រោយ - 15.1.2 | ចុងក្រោយ - 15.1 | ចុងក្រោយ - 15.1 |
កំណែបច្ចុប្បន្ននៃកម្មវិធី Intel Quartus Prime ក៏រួមបញ្ចូលផងដែរនូវពេលវេលា និងម៉ូដែលថាមពលចុងក្រោយសម្រាប់ Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V គ្រួសារឧបករណ៍ SoC, MAX II, MAX II Z, MAX V, Stratix IV និង Stratix V ។ គំរូពេលវេលាសម្រាប់គ្រួសារឧបករណ៍ទាំងនេះបានក្លាយជាចុងក្រោយនៅក្នុងកម្មវិធី Intel Quartus Prime កំណែ 11.1 ឬមុននេះ។
ម៉ូដែល IBIS
តារាងទី 8. ស្ថានភាពគំរូ IBIS សម្រាប់ Intel Quartus Prime Standard Edition Software Release Version 22.1
ដោយចាប់ផ្តើមនៅក្នុង Intel Quartus Prime Standard Edition software version 16.0 គ្រួសារឧបករណ៍មានស្ថានភាពគំរូ IBIS ដែលមានទាំង Advance, Preliminary ឬ Final។
គ្រួសារឧបករណ៍ | ស្ថានភាពគំរូ IBIS |
ក្រុមហ៊ុន Intel Arria ១០ | ចុងក្រោយ - 16.1.2 |
អារីយ៉ា វី | ទាក់ទងជាមួយប្រតិបត្តិការឧបករណ៍ PHY – 14.0 |
Arria II GX | ទាក់ទងជាមួយប្រតិបត្តិការឧបករណ៍ PHY – 11.1 |
Arria II GZ | ទាក់ទងជាមួយប្រតិបត្តិការឧបករណ៍ PHY – 11.1 |
ក្រុមហ៊ុន Intel Cyclone 10 LP | ចុងក្រោយ - 17.0 |
ព្យុះស៊ីក្លូន V | ទាក់ទងជាមួយប្រតិបត្តិការឧបករណ៍ PHY – 14.0 |
ព្យុះស៊ីក្លូន IV អ៊ី | ទាក់ទងជាមួយប្រតិបត្តិការឧបករណ៍ PHY – 11.1 |
ព្យុះស៊ីក្លូន IV GX | ទាក់ទងជាមួយប្រតិបត្តិការឧបករណ៍ PHY – 11.1 |
Intel MAX 10 | ចុងក្រោយ - 16.0 |
MAX V | ទាក់ទងជាមួយប្រតិបត្តិការឧបករណ៍ PHY – 11.1 |
Stratix V | ទាក់ទងជាមួយប្រតិបត្តិការឧបករណ៍ PHY – 13.0 SP1 |
Stratix IV | ទាក់ទងជាមួយប្រតិបត្តិការឧបករណ៍ PHY – 11.1 |
ម៉ូដែល IBIS ដែលបានធ្វើបច្ចុប្បន្នភាពមាននៅលើអ៊ីនធឺណិតនៅលើ IBIS Models សម្រាប់ឧបករណ៍ Intel FPGA web ទំព័រ។ ទំព័រនេះត្រូវបានធ្វើបច្ចុប្បន្នភាពជាគំរូ IBIS សម្រាប់ឧបករណ៍ដែលអាចប្រើបាន ឬត្រូវបានធ្វើបច្ចុប្បន្នភាព។
ព័ត៌មានចំណុចប្រទាក់ EDA
តារាងទី 9. ឧបករណ៍សំយោគដែលគាំទ្រកម្មវិធី Intel Quartus Prime Standard Edition ការចេញផ្សាយកំណែ 22.1
ឧបករណ៍សំយោគ | កំណែ |
ភាពជាក់លាក់របស់ Siemens EDA* | កំណែ Siemens EDA Precision ដែលគាំទ្រកម្មវិធី Intel Quartus Prime ជាធម្មតាត្រូវបានចេញផ្សាយបន្ទាប់ពីការចេញផ្សាយកម្មវិធី Intel Quartus Prime ។ ទាក់ទង Siemens EDA សម្រាប់កំណែរបស់ Siemens EDA Precision ដែលគាំទ្រ Intel Quartus Prime Standard Edition Software Release Version 22.1 ។ |
Synopsys* Synplify*, Synplify Pro* និង Synplify Premier | Synopsys Synplify, Synplify Pro និង Synplify Premier កំណែដែលគាំទ្រកម្មវិធី Intel Quartus Prime ជាធម្មតាត្រូវបានចេញផ្សាយបន្ទាប់ពីការចេញផ្សាយកម្មវិធី Intel Quartus Prime ។ ទំនាក់ទំនង Synopsys សម្រាប់កំណែរបស់ Synopsys Synplify, Synplify Pro និង Synplify Premier ដែលគាំទ្រ Intel Quartus Prime Standard Edition Software Release Version 22.1។ |
តារាងទី 10. ឧបករណ៍ក្លែងធ្វើដែលគាំទ្រកម្មវិធី Intel Quartus Prime Standard Edition ការចេញផ្សាយកំណែ 22.1
ឧបករណ៍ក្លែងធ្វើខាងក្រោមផ្តល់នូវ RTL និងការក្លែងធ្វើកម្រិតទ្វារមុខងារ។ មានតែឧបករណ៍ក្លែងធ្វើ 64 ប៊ីតប៉ុណ្ណោះដែលត្រូវបានគាំទ្រ។
ឧបករណ៍ក្លែងធ្វើ | កំណែ |
Aldec Active-HDL | 13.0 (សម្រាប់តែ Windows) |
Aldec Riviera-PRO | 2019.10 |
Cadence Xcelium* ការក្លែងធ្វើតក្កវិជ្ជាប៉ារ៉ាឡែល | 21.09.003 (លីនុច* តែប៉ុណ្ណោះ) |
Questa-Intel FPGA Edition | 2021.2 |
Siemens EDA ModelSim SE | 2020.4 |
Siemens EDA Questa Advanced Simulator | 2020.4 |
Synopsys VCS* និង VCS MX | P-2019.06-SP2-5 (សម្រាប់តែលីនុច) |
Questa-Intel FPGA Edition ទាមទារ FlexLM អាជ្ញាប័ណ្ណដេមិន កំណែ 11.16.4.0 (ឬក្រោយនេះ)។ អ្នកអាចទទួលបានដេមិនអាជ្ញាប័ណ្ណពី FlexLM License Daemons សម្រាប់ Intel FPGA Software web ទំព័រ។
អ្នកអាចទទួលបាន Intel FPGA Edition នៃឧបករណ៍ក្លែងធ្វើពីមជ្ឈមណ្ឌលទាញយកសម្រាប់ FPGAs ។
ការគាំទ្រប្រព័ន្ធប្រតិបត្តិការសម្រាប់ Questa-Intel FPGA Edition កំណែ 2021.2
- Red Hat Enterprise Linux 7 (64 ប៊ីត)
- Red Hat Enterprise Linux 8 (64 ប៊ីត)
- SUSE Linux Enterprise Server 12 (64 ប៊ីត)
- Windows 10 (64 ប៊ីត)
ព័ត៌មានពាក់ព័ន្ធ
- Intel Quartus Prime Standard Edition កម្មវិធីរចនាសម្រាប់លីនុច
- Intel Quartus Prime Standard Edition កម្មវិធីរចនាសម្រាប់ Windows
- កម្មវិធីរចនា Intel Quartus Prime Lite Edition សម្រាប់លីនុច
- កម្មវិធីរចនា Intel Quartus Prime Lite Edition សម្រាប់ Windows
ការផ្ទៀងផ្ទាត់កំចាត់មេរោគ
ការចេញផ្សាយកម្មវិធី Intel Quartus Prime កំណែ 22.1 ត្រូវបានផ្ទៀងផ្ទាត់មេរោគដោយឥតគិតថ្លៃជាមួយនឹងកម្មវិធីខាងក្រោម៖
កម្មវិធីផ្ទៀងផ្ទាត់កំចាត់មេរោគ
បន្ទាត់ពាក្យបញ្ជា McAfee VirusScan សម្រាប់ Linux64 កំណែ៖ 7.0.0.477
កំណែម៉ាស៊ីន AV៖ 6300.9389 សម្រាប់ Linux64។
កំណែសំណុំទិន្នន័យ៖ ១០៥០៥ បង្កើតនៅថ្ងៃទី ១៩ ខែតុលា ឆ្នាំ ២០២២
បញ្ហាកម្មវិធីត្រូវបានដោះស្រាយ
សំណើសេវាកម្មអតិថិជនខាងក្រោមត្រូវបានជួសជុល ឬត្រូវបានដោះស្រាយនៅក្នុង Intel Quartus Prime Standard Edition Version 22.1៖
តារាងទី 11. បញ្ហាដែលត្រូវបានដោះស្រាយនៅក្នុង Intel Quartus Prime Standard Edition កំណែ 22.1
លេខស្នើសុំសេវាអតិថិជន | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
បំណះកម្មវិធីរួមបញ្ចូលនៅក្នុងការចេញផ្សាយនេះ។
Intel Quartus Prime Standard Edition កំណែ 22.1 មានបំណះខាងក្រោមសម្រាប់កំណែមុននៃកម្មវិធី Intel Quartus Prime Standard Edition៖
តារាង 12. កម្មវិធីបំណះកម្មវិធីរួមបញ្ចូលក្នុង Intel Quartus Prime Standard Edition កំណែ 22.1
កំណែសូហ្វវែរ | បំណះ | លេខស្នើសុំសេវាអតិថិជន |
Intel Quartus Prime កំណែ 21.1 | ទី 0.10 | – |
Intel Quartus Prime កំណែ 21.1 | ទី 0.08 | 00693884 |
Intel Quartus Prime កំណែ 21.1 | ទី 0.07 | 00501636 |
Intel Quartus Prime កំណែ 21.1 | ទី 0.06 | 00689611 |
Intel Quartus Prime កំណែ 21.1 | 0.04stdp | – |
Intel Quartus Prime កំណែ 21.1 | ទី 0.03 | – |
Intel Quartus Prime កំណែ 21.1 | ទី 0.02 | – |
Intel Quartus Prime កំណែ 20.1.1 | ទី 1.09 | 00702107 |
Intel Quartus Prime កំណែ 20.1 | ទី 0.14 | 00702107 |
Intel Quartus Prime កំណែ 18.1.1 | ទី 1.13 | – |
Intel Quartus Prime កំណែ 18.1.1 | ទី 1.12 | – |
Intel Quartus Prime កំណែ 18.1.1 | ទី 1.09 | – |
កំណែសូហ្វវែរ | បំណះ | លេខស្នើសុំសេវាអតិថិជន |
Intel Quartus Prime កំណែ 18.1 | ទី 0.23 | 00698210 |
Intel Quartus Prime កំណែ 18.1 | ទី 0.21 | 00669646 |
Intel Quartus Prime កំណែ 18.1 | ទី 0.20 | 00689611 |
បញ្ហាកម្មវិធី Intel Quartus Prime ដែលស្គាល់ចុងក្រោយបំផុត។
ព័ត៌មានអំពីបញ្ហាដែលគេស្គាល់ដែលប៉ះពាល់ដល់ Intel Quartus Prime Standard Edition Version 22.1 មាននៅក្នុង Intel FPGA Knowledge Base ។
សម្រាប់ព័ត៌មានចុងក្រោយបំផុតអំពីបញ្ហាដែលប៉ះពាល់ដល់ Intel Quartus Prime Standard Edition Version 22.1, review អត្ថបទមូលដ្ឋានចំណេះដឹង Intel FPGA ដែលអនុវត្តចំពោះ Intel Quartus Prime Standard Edition កំណែ 22.1 ។
តារាងទី 13. បញ្ហាដែលគេដឹងសំខាន់ៗដែលប៉ះពាល់ដល់ Intel Quartus Prime Standard Edition កំណែ 22.1
ការពិពណ៌នា | ដំណោះស្រាយ |
នៅលើប្រព័ន្ធ Microsoft* Windows, SDI II Intel FPGA IP design example generation បរាជ័យជាមួយនឹងសារកំហុសខាងក្រោម៖ | សម្រាប់ព័ត៌មានលម្អិត និងលទ្ធភាពនៃការជួសជុល សូមយោងទៅ ហេតុអ្វី? ការរចនា SDI II Intel FPGA IP ឧampជំនាន់បរាជ័យ នៅពេលប្រើកម្មវិធី Intel Quartus Prime សម្រាប់ Windows? នៅក្នុងមូលដ្ឋានចំណេះដឹង Intel FPGA ។ |
កំហុស៖ បរាជ័យក្នុងការបង្កើត example រចនា example_design ទៅ:: \sdi_ii_0_example_design | |
នៅលើប្រព័ន្ធ Microsoft Windows កំហុសខាងក្រោមកើតឡើងនៅពេលបង្កើត Intel Arria 10 EMIF Example រចនាសម្រាប់ការក្លែងធ្វើ៖ | អ្នកអាចមិនអើពើសារព្រមានទាំងនេះដោយសុវត្ថិភាព។ ការក្លែងធ្វើ file កំណត់សម្រាប់ Siemens EDA Questa និង Aldec
កម្មវិធីក្លែងធ្វើ Riviera-PRO ត្រូវបានបង្កើត និងមានការរចនាពាក់ព័ន្ធ files ដើម្បីដំណើរការការក្លែងធ្វើដោយជោគជ័យ។ សម្រាប់ព័ត៌មានលម្អិត និងលទ្ធភាពនៃការជួសជុល សូមមើល ហេតុអ្វី? តើ Intel Arria 10 EMIF Exampជំនាន់រចនាបរាជ័យ នៅពេលប្រើ Intel Quartus Prime Standard Edition កម្មវិធីកំណែ 22.1 សម្រាប់ Windows? នៅក្នុងមូលដ្ឋានចំណេះដឹង Intel FPGA ។ |
កំហុស៖ emif_0៖ កំហុសមួយបានកើតឡើងនៅពេលបង្កើតការក្លែងធ្វើ exampការរចនាឡេ។ សូមមើល make_sim_design_errors.log សម្រាប់ព័ត៌មានលម្អិត។ | |
កំហុស៖ បរាជ័យក្នុងការបង្កើត exampការរចនាឡេ
ទៅ៖ <ឧampសៀវភៅបញ្ជីរចនា> |
|
បង្កើត Exampការរចនា៖ បានបញ្ចប់ដោយមានកំហុស | |
នៅពេលដែលអ្នកប្រើ Intel Arria 10 EMIF IP Skip Calibration mode ការក្លែងធ្វើ Intel Arria 10 EMIF IP ជាមួយនឹងកម្មវិធី Siemens EDA Questa simulator (Siemens EDA Questa Advanced Simulator ឬ Questa-Intel FPGA Edition) អាចព្យួរបាន។ | ប្រើ Abstract PHY សម្រាប់ការក្លែងធ្វើលឿន
ជម្រើសក្លែងធ្វើដើម្បីការពារការព្យួរ។ សម្រាប់ព័ត៌មានលម្អិត និងលទ្ធភាពនៃការជួសជុល សូមមើល ហេតុអ្វី? ធ្វើត្រាប់តាម Intel Arria 10 EMIF IP នៅក្នុង Mentor ឧបករណ៍ក្លែងធ្វើព្យួរនៅពេលប្រើ Intel Quartus Prime Standard កំណែកម្មវិធីកំណែ 22.1 នៅក្នុងមូលដ្ឋានចំណេះដឹង Intel FPGA ។ |
អ្នកអាចស្វែងរកព័ត៌មានបញ្ហាដែលគេស្គាល់សម្រាប់កំណែមុននៃកម្មវិធី Quartus Prime នៅលើ Intel FPGA Knowledge Base web ទំព័រ។
ព័ត៌មានអំពីបញ្ហាកម្មវិធីដែលគេស្គាល់ដែលប៉ះពាល់ដល់កំណែមុនរបស់កម្មវិធី Quartus II មាននៅលើ Intel Quartus Prime និង Quartus II Software Support web ទំព័រ។
ព័ត៌មានអំពីបញ្ហាដែលប៉ះពាល់ដល់ Intel FPGA IP Library មាននៅក្នុងកំណត់ចំណាំចេញផ្សាយសម្រាប់ IP នីមួយៗ។ អ្នកអាចស្វែងរកកំណត់ចំណាំចេញផ្សាយ IP នៅលើសន្ទស្សន៍ឯកសារ Intel FPGA web ទំព័រ។
ព័ត៌មានពាក់ព័ន្ធ
- មូលដ្ឋានចំណេះដឹង Intel FPGA
- ការគាំទ្រកម្មវិធី Intel Quartus Prime និង Quartus II
- Intel FPGAs និងឧបករណ៍ដែលអាចសរសេរកម្មវិធីបានចេញផ្សាយកំណត់ចំណាំ
Intel Quartus Prime Standard Edition Software និង Device Support Release Notes Archives
សម្រាប់កំណែចុងក្រោយបំផុត និងមុននៃកំណត់ចំណាំចេញផ្សាយទាំងនេះ សូមមើល Intel Quartus Prime Standard Edition Software និង Device Support Notes ។ ប្រសិនបើកំណែកម្មវិធីមិនត្រូវបានរាយបញ្ជី កំណត់ចំណាំចេញផ្សាយសម្រាប់កំណែកម្មវិធីមុនត្រូវបានអនុវត្ត។
កម្មវិធី Intel Quartus Prime Standard Edition ការចេញផ្សាយកំណែ 22.1 ប្រវត្តិការកែប្រែឯកសារ
កំណែឯកសារ | កំណែ Intel Quartus Prime | ការផ្លាស់ប្តូរ |
2022.11.07 | 22.1 | • ធ្វើបច្ចុប្បន្នភាពបញ្ហាកម្មវិធីដែលស្គាល់ចុងក្រោយបំផុត។ |
2022.10.31 | 22.1 | • ចេញផ្សាយដំបូង។ |
Intel Quartus Prime Standard Edition៖ កំណែ 22.1 កម្មវិធី និងកំណត់ចំណាំការចេញផ្សាយជំនួយឧបករណ៍
ឯកសារ/ធនធាន
![]() |
កម្មវិធី intel RN-01080-22.1 Quartus Prime Standard Edition [pdf] ការណែនាំអ្នកប្រើប្រាស់ កំណែ 22.1, RN-01080-22.1, RN-01080-22.1 Quartus Prime Standard Edition Software, Quartus Prime Standard Edition Software, Prime Standard Edition Software, Standard Edition Software, Edition Software, Software |