កម្មវិធី intel 22.4 Quartus Prime Pro Edition
ព័ត៌មានអំពីផលិតផល
ការដកស្រង់អត្ថបទគឺចេញពីសៀវភៅណែនាំអ្នកប្រើប្រាស់របស់ Intel Quartus Prime Pro Edition Software Version 22.4 ។ កំណត់ចំណាំនៃការចេញផ្សាយកម្មវិធីផ្តល់ព័ត៌មានអំពីមុខងារថ្មីៗ ការជួសជុលកំហុស ការផ្លាស់ប្តូរឥរិយាបថកម្មវិធី និងមុខងារ និងមុខងារដែលបានបដិសេធ។ កំណែកម្មវិធីរួមបញ្ចូលការអាប់ដេតមុខងារ និងសុវត្ថិភាព ហើយវាត្រូវបានណែនាំឱ្យរក្សាកម្មវិធីឱ្យទាន់សម័យ ដើម្បីបង្កើនសុវត្ថិភាពនៃការដំឡើង។
ការណែនាំអំពីការប្រើប្រាស់ផលិតផល
ដើម្បីដំឡើង និងប្រើប្រាស់កម្មវិធី Intel Quartus Prime Pro Edition Software Version 22.4 សូមអនុវត្តតាមជំហានទាំងនេះ៖
- សូមមើល Intel Quartus Prime Pro Edition README file នៅក្នុងទីតាំងខាងក្រោម៖ /quartus/readme.txt សម្រាប់ព័ត៌មានបន្ថែមអំពីការចេញផ្សាយកម្មវិធី។
- យោងទៅខាងក្រោម web ទំព័រសម្រាប់ព័ត៌មានអំពីការគាំទ្រប្រព័ន្ធប្រតិបត្តិការ៖ ការគាំទ្រប្រព័ន្ធប្រតិបត្តិការ Intel FPGA ។
- ទាញយកនិងដំឡើងកម្មវិធី។
- ទៅ view ការកំណត់ការកំណត់លំនាំដើមសម្រាប់កំណែចុងក្រោយបំផុតនៃកម្មវិធី Intel Quartus Prime សូមមើលការកំណត់លំនាំដើម Intel Quartus Prime File (.qdf) ដែលមានទីតាំងនៅ /quartus/bin/assignment_defaults.qdf.
- ប្រសិនបើអ្នកមានសំណើសេវាកម្មអតិថិជន, ឡើងវិញview បញ្ហាកម្មវិធីដែលបានដោះស្រាយនៅទំព័រ 17 និងបំណះកម្មវិធីដែលរួមបញ្ចូលនៅក្នុងការចេញផ្សាយនេះនៅទំព័រ 18 ដើម្បីមើលថាតើកំណែនេះមានការជួសជុលឬក៏ដោះស្រាយសំណើណាមួយរបស់អ្នក។
- ប្រសិនបើអ្នកមានការរចនា OpenCL FPGA សូមប្តូរពួកវាទៅ SYCL* ដោយ reviewការធ្វើចំណាកស្រុក OpenCL FPGA Designs ទៅ SYCL* មគ្គុទ្ទេសក៍ដែលបង្ហាញពីភាពខុសគ្នាសំខាន់ៗរវាង OpenCL និង SYCL សម្រាប់ FPGA និងផ្តល់នូវជំហានដើម្បីផ្លាស់ប្តូរការរចនា OpenCL របស់អ្នក។ Intel oneAPI Base Toolkit គឺជាជម្រើសមួយសម្រាប់ Intel FPGA SDK ដែលឈប់ប្រើសម្រាប់ផលិតផលកម្មវិធី OpenCL ។
Intel® Quartus® Prime Pro Edition កំណែ 22.4 កម្មវិធី និងកំណត់ចំណាំការចេញផ្សាយជំនួយឧបករណ៍
- ឯកសារនេះផ្តល់នូវព័ត៌មានយឺតយ៉ាវអំពី Intel® Quartus® Prime Pro Edition Version 22.4 ។
- សម្រាប់ព័ត៌មានបន្ថែមអំពីការចេញផ្សាយកម្មវិធីនេះ សូមមើល Intel Quartus Prime Pro Edition README file នៅទីតាំងខាងក្រោម៖ /quartus/readme.txt
- សម្រាប់ព័ត៌មានអំពីការគាំទ្រប្រព័ន្ធប្រតិបត្តិការ សូមមើលខាងក្រោម web ទំព័រ៖ ការគាំទ្រប្រព័ន្ធប្រតិបត្តិការ Intel FPGA ។
ព័ត៌មានពាក់ព័ន្ធ
- Intel Quartus Prime Standard Edition Software និង Device Support Notes
- កម្មវិធីរចនា Intel Quartus Prime Pro Edition សម្រាប់លីនុច
- កម្មវិធីរចនា Intel Quartus Prime Pro Edition សម្រាប់ Windows
- ការដំឡើងកម្មវិធី Intel FPGA និងអាជ្ញាប័ណ្ណ
លក្ខណៈពិសេសថ្មី និងការកែលម្អ
កម្មវិធី Intel Quartus Prime Pro Edition កំណែ 22.4 រួមបញ្ចូលការអាប់ដេតមុខងារ និងសុវត្ថិភាព។ រក្សាកម្មវិធីរបស់អ្នកឱ្យទាន់សម័យ និងធ្វើតាមការណែនាំបច្ចេកទេសដែលជួយកែលម្អសុវត្ថិភាពនៃការដំឡើង Intel Quartus Prime របស់អ្នក។
កម្មវិធី Intel Quartus Prime Pro Edition កំណែ 22.4 រួមមានមុខងារ និងការកែលម្អថ្មីៗដូចខាងក្រោម៖
- បានបន្ថែមការគាំទ្រសម្រាប់ឧបករណ៍ Intel Agilex™ ថ្មី។ សម្រាប់ព័ត៌មានលម្អិត សូមមើលការផ្លាស់ប្តូរក្នុងការគាំទ្រឧបករណ៍នៅទំព័រ 12 ។
- បានបន្ថែមការរចនាថ្មី ឧample ការរកឃើញលក្ខណៈពិសេសដែលផ្តល់នូវចំណុចតែមួយនៃការចូលទៅក្នុងការរចនា FPGA examples នៅក្នុង Intel Quartus Prime និងអ្នករចនាវេទិកា។ ការរចនា ឧamples មកពីប្រភពក្រៅបណ្តាញ និងអនឡាញផ្សេងៗ រួមទាំង ឧamples បានផ្តល់ជាផ្នែកនៃការដំឡើង Intel Quartus Prime Pro Edition របស់អ្នក និងឧamples មាននៅក្នុង FPGA Design Store ។
- បានបន្ថែមលក្ខណៈពិសេសការយល់ដឹងអំពីក្រុមប្រឹក្សាភិបាលថ្មីនៅក្នុងកម្មវិធីរចនាវេទិកាដែលអនុញ្ញាតឱ្យបង្កើតការរចនាកាន់តែលឿននៅពេលកំណត់គោលដៅរបស់ Intel និងក្រុមប្រឹក្សាភាគីទីបី FPGA ។ មុខងារយល់ដឹងពីក្តារផ្តល់នូវការកំណត់ IP និងក្តារដែលបានកំណត់ទុកជាមុន ដើម្បីជួយចាប់ផ្តើមការរចនារបស់អ្នកយ៉ាងឆាប់រហ័ស។
សាជីវកម្ម Intel ។ រក្សារសិទ្ធគ្រប់យ៉ាង។ Intel, និមិត្តសញ្ញា Intel និងសញ្ញា Intel ផ្សេងទៀតគឺជាពាណិជ្ជសញ្ញារបស់ Intel Corporation ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។ Intel ធានាការអនុវត្តផលិតផល FPGA និង semiconductor របស់ខ្លួនទៅនឹងលក្ខណៈបច្ចេកទេសបច្ចុប្បន្នស្របតាមការធានាស្តង់ដាររបស់ Intel ប៉ុន្តែរក្សាសិទ្ធិក្នុងការផ្លាស់ប្តូរផលិតផល និងសេវាកម្មណាមួយនៅពេលណាមួយដោយមិនមានការជូនដំណឹងជាមុន។ Intel សន្មត់ថាគ្មានទំនួលខុសត្រូវ ឬទំនួលខុសត្រូវដែលកើតចេញពីកម្មវិធី ឬការប្រើប្រាស់ព័ត៌មាន ផលិតផល ឬសេវាកម្មណាមួយដែលបានពិពណ៌នានៅទីនេះ លើកលែងតែមានការយល់ព្រមជាលាយលក្ខណ៍អក្សរដោយ Intel ។ អតិថិជនរបស់ Intel ត្រូវបានណែនាំឱ្យទទួលបានកំណែចុងក្រោយបំផុតនៃការបញ្ជាក់ឧបករណ៍ មុនពេលពឹងផ្អែកលើព័ត៌មានដែលបានបោះពុម្ពផ្សាយណាមួយ និងមុនពេលធ្វើការបញ្ជាទិញផលិតផល ឬសេវាកម្ម។
*ឈ្មោះ និងម៉ាកផ្សេងទៀតអាចត្រូវបានទាមទារជាកម្មសិទ្ធិរបស់អ្នកដទៃ។
- ធ្វើអោយប្រសើរឡើងនូវ RTL Analyzer ដូចខាងក្រោម៖
- បានបន្ថែមជម្រើសត្រង ដូច្នេះអ្នកអាចត្រងបញ្ជីសុទ្ធរបស់អ្នក និង view មានតែផ្លូវតក្កវិជ្ជាដែលបានបញ្ជាក់។
- បានបន្ថែមជម្រើសពង្រីកការតភ្ជាប់ ដូច្នេះអ្នកអាចពង្រីកម្ជុលដែលបានជ្រើសរើស និងបង្ហាញថ្នាំងដែលបានតភ្ជាប់បន្ទាប់។
- សមត្ថភាពបន្ថែមដើម្បីរក្សាទុក និងស្ដារចុងក្រោយរបស់អ្នក។ view នៅពេលអ្នកបើកដំណើរការ RTL Analyzer ឡើងវិញ។ ការផ្លាស់ប្តូរ RTL របស់អ្នក និងការចងក្រងគម្រោងឡើងវិញ ធ្វើឱ្យការរក្សាទុករបស់អ្នកមិនត្រឹមត្រូវ view.
- បានពង្រឹងម៉ាស៊ីនគណនាថាមពល និងកម្តៅ (PTC) ដូចខាងក្រោម៖
- បានបន្ថែមការគាំទ្របេតាសម្រាប់អ្នកជំនួយការ IP ដើម្បីជួយបំពេញ PTC ជាមួយនឹងធនធានដែលប្រើដោយ IP ។ អ្នកជំនួយការនេះជំនួសទំព័រ I/O-IP របស់ PTC ។
- បានបន្ថែមការគាំទ្រសម្រាប់ការនាំចូលឋានានុក្រមរចនាពី Quartus Power Analyzer ។
- បានពង្រឹងការគាំទ្រ Nios V ដូចខាងក្រោម៖
- បានធ្វើបច្ចុប្បន្នភាព Ashling* RiscFree* IDE សម្រាប់ Intel FPGA ដល់ 2022 Q4 ចេញផ្សាយ។
- បានពង្រឹង Nios V example រចនាលំហូរដោយការធ្វើចំណាកស្រុកឧample រចនាទៅ Intel FPGA Design Store ។
- បានបើក Zephyr RTOS សម្រាប់ Nios V/m ។
- សម្រាប់ IP ដែលមានមូលដ្ឋានលើ F-tile បន្ថែមការគាំទ្រការក្លែងធ្វើសម្រាប់ Aldec* Active-HDL* និង Aldec Riviera-PRO* ឧបករណ៍ក្លែងធ្វើ។
- GUI កុងសូលប្រព័ន្ធដែលប្រសើរឡើងជាមួយនឹងសមត្ថភាពក្នុងការកំណត់ប្លង់កន្លែងធ្វើការតាមបំណង និងរក្សាទុកការប្ដូរតាមបំណងរបស់អ្នក។
- បានបន្ថែមកម្មវិធីនិពន្ធការចាត់តាំងក្រឡាសម្រាប់ការកំណត់រចនាសម្ព័ន្ធឡើងវិញថាមវន្តដែលកំណត់ដោយអ្នកប្រើប្រាស់ (DR) ការបញ្ជាក់ក្រុមសម្រាប់ការរចនាក្បឿង F ។
កែកំហុស
កម្មវិធី Intel Quartus Prime Pro Edition កំណែ 22.4 ក៏រួមបញ្ចូលការកែកំហុសផងដែរ។ ឡើងវិញview បញ្ហាសូហ្វវែរត្រូវបានដោះស្រាយនៅទំព័រ 17 និងបំណះកម្មវិធីដែលរួមបញ្ចូលនៅក្នុងការចេញផ្សាយនេះនៅទំព័រ 18 ដើម្បីមើលថាតើកំណែនេះមានការជួសជុលសម្រាប់ ឬបើមិនដូច្នេះទេដោះស្រាយសំណើសេវាកម្មអតិថិជនរបស់អ្នក។
ការផ្លាស់ប្តូរឥរិយាបថកម្មវិធី
ផ្នែកនេះកត់ត្រានូវករណីដែលឥរិយាបថ និងការកំណត់លំនាំដើមនៃកម្មវិធី Intel Quartus Prime Pro Edition ត្រូវបានផ្លាស់ប្តូរពីការចេញផ្សាយមុនៗនៃកម្មវិធី Intel Quartus Prime Pro Edition ។
Intel Quartus Prime Pro Edition មានការផ្លាស់ប្តូរដូចខាងក្រោមៈ
- បានធ្វើបច្ចុប្បន្នភាពគំរូពេលវេលាសម្រាប់ Intel Agilex F-Series មួយចំនួនជាមួយនឹងកម្រិតល្បឿន -4F ។
គំរូពេលវេលាដែលបានធ្វើបច្ចុប្បន្នភាពកែតម្រូវសម្រាប់ភាពខុសគ្នាដែលបានរកឃើញនៅពេលដែលឧបករណ៍ទាំងនេះដំណើរការនៅ 0 ° C ។
ឧបករណ៍ Intel Agilex F-Series ខាងក្រោមត្រូវបានរងផលប៉ះពាល់ដោយគំរូពេលវេលាដែលបានធ្វើបច្ចុប្បន្នភាពនេះ៖- AGFA014R24, AGFA019R25, AGFA022R25, AGFA023R25, AGFA027R25
- AGFB014R24, AFGB019R25, AGFB022R25, AGFB023R25, AGFB027R25
- AGFC019R25, AGFC023R25
- AGFD019R25, AGFD023R25
សម្រាប់ព័ត៌មានបន្ថែមអំពីការអាប់ដេតគំរូពេលវេលានេះ សូមមើល "ហេតុអ្វីបានជាមានការបរាជ័យមុខងារនៅពេលប្រើឧបករណ៍ Intel Agilex F-Series -4F នៅក្នុង Intel Quartus Prime Pro Edition Software version 22.3 និងមុននេះ?" នៅក្នុងមូលដ្ឋានចំណេះដឹង Intel FPGA ។
សូមមើលការកំណត់លំនាំដើម Intel Quartus Prime File (.qdf), /quartus/bin/assignment_defaults.qdf សម្រាប់បញ្ជីនៃការកំណត់ការកំណត់លំនាំដើមទាំងអស់សម្រាប់កំណែចុងក្រោយបំផុតនៃកម្មវិធី Intel Quartus Prime ។
មុខងារ និងមុខងារដែលបានបដិសេធ
មុខងារ និងលក្ខណៈពិសេសដែលបានរាយក្នុងផ្នែកនេះត្រូវបានបដិសេធ ប៉ុន្តែមិនត្រូវបានដកចេញពី Intel Quartus Prime Pro Edition កំណែ 22.4 ឬមុននេះទេ។ ផ្ទេរឧបករណ៍ និងដំណើរការរបស់អ្នក ដើម្បីប្រើមុខងារ និងមុខងារជំនួស ឬជំនួស មុនពេលមុខងារ និងមុខងារដែលបានបដិសេធត្រូវបានដកចេញ។ លក្ខណៈពិសេស និងមុខងារត្រូវបានបដិសេធជា Intel Quartus Prime Pro Edition កំណែ 22.4 មិនមានលក្ខណៈពិសេស ឬមុខងាររបស់ Intel Quartus Prime ត្រូវបានបដិសេធនៅក្នុង Intel Quartus Prime Pro Edition កំណែ 22.4 ទេ។ លក្ខណៈពិសេស និងមុខងារត្រូវបានបដិសេធជា Intel Quartus Prime Pro Edition កំណែ 22.3 Intel FPGA SDK សម្រាប់ផលិតផលកម្មវិធី OpenCL™ ត្រូវបានបដិសេធ។ Intel កំពុងឈប់ដំណើរការ Intel FPGA SDK សម្រាប់ផលិតផលកម្មវិធី OpenCL ។ សូមមើលការជូនដំណឹងអំពីការបញ្ឈប់ផលិតផល PDN2219។
ជាជម្រើសមួយ ប្រើ Intel oneAPI Base Toolkit ដែលផ្តល់ឧបករណ៍ស្នូល និងបណ្ណាល័យសម្រាប់បង្កើតកម្មវិធីដែលផ្តោតលើទិន្នន័យដែលមានប្រសិទ្ធភាពខ្ពស់នៅទូទាំងស្ថាបត្យកម្មចម្រុះ។ វាមានលក្ខណៈពិសេសជាកម្មវិធីចងក្រង C ++ ឈានមុខគេក្នុងឧស្សាហកម្មដែលអនុវត្ត SYCL* ដែលជាការវិវត្តនៃ C ++ សម្រាប់ការគណនាខុសគ្នា។ សម្រាប់ព័ត៌មានបន្ថែម សូមមើល Intel oneAPI Base Toolkit web ទំព័រ។ ដើម្បីផ្ទេរការរចនា OpenCL FPGA របស់អ្នកទៅ SYCL* សូមបន្តview ការធ្វើចំណាកស្រុក OpenCL FPGA Designs ទៅ SYCL* មគ្គុទ្ទេសក៍ដែលបង្ហាញពីភាពខុសគ្នាសំខាន់ៗរវាង OpenCL និង SYCL សម្រាប់ FPGA និងផ្តល់នូវជំហានដើម្បីផ្លាស់ប្តូរការរចនា OpenCL របស់អ្នក។
- លក្ខណៈពិសេស និងមុខងារត្រូវបានបដិសេធជា Intel Quartus Prime Pro Edition កំណែ 22.2
- មិនមានលក្ខណៈពិសេស ឬមុខងាររបស់ Intel Quartus Prime ត្រូវបានបដិសេធនៅក្នុង Intel Quartus Prime Pro Edition Version 22.2។
- លក្ខណៈពិសេស និងមុខងារត្រូវបានបដិសេធជា Intel Quartus Prime Pro Edition កំណែ 22.1
- មិនមានលក្ខណៈពិសេស ឬមុខងាររបស់ Intel Quartus Prime ត្រូវបានបដិសេធនៅក្នុង Intel Quartus Prime Pro Edition Version 22.1។
មុខងារ និងមុខងារដែលបានលុបចេញ
- មុខងារ និងលក្ខណៈពិសេសដែលបានរាយក្នុងផ្នែកនេះត្រូវបានដកចេញពី Intel Quartus Prime Pro Edition Version 22.4 ឬមុននេះ។
- លក្ខណៈពិសេស និងមុខងារត្រូវបានដកចេញពី Intel Quartus Prime Pro Edition កំណែ 22.4
- មិនមានលក្ខណៈពិសេស ឬមុខងាររបស់ Intel Quartus Prime ត្រូវបានដកចេញពី Intel Quartus Prime Pro Edition កំណែ 22.4 ទេ។
- លក្ខណៈពិសេស និងមុខងារត្រូវបានដកចេញពី Intel Quartus Prime Pro Edition កំណែ 22.3
- មិនមានលក្ខណៈពិសេស ឬមុខងាររបស់ Intel Quartus Prime ត្រូវបានដកចេញពី Intel Quartus Prime Pro Edition កំណែ 22.3 ទេ។
- លក្ខណៈពិសេស និងមុខងារត្រូវបានដកចេញពី Intel Quartus Prime Pro Edition កំណែ 22.2
- មិនមានលក្ខណៈពិសេស ឬមុខងាររបស់ Intel Quartus Prime ត្រូវបានដកចេញពី Intel Quartus Prime Pro Edition កំណែ 22.2 ទេ។
- លក្ខណៈពិសេស និងមុខងារត្រូវបានដកចេញពី Intel Quartus Prime Pro Edition កំណែ 22.1
បានដកការគាំទ្រសម្រាប់ប្រព័ន្ធប្រតិបត្តិការខាងក្រោម៖
- CentOS * 7.5
- Red Hat* Enterprise Linux* 7
- ម៉ាស៊ីនបម្រើវីនដូ * 2012
- បានដកការគាំទ្រសម្រាប់ Siemens* EDA ModelSim* SE ។
ប្រើ Siemens EDA Questa* Advanced Simulator ជំនួសវិញ។
ការបង្កើតឡើងវិញនូវ IP របស់ Intel FPGA
Intel FPGA IPs ខាងក្រោមមានការអាប់ដេតកំណែសំខាន់ៗ ហើយត្រូវតែបង្កើតឡើងវិញនៅក្នុង Intel Quartus Prime Pro Edition Version 22.4៖
- E-Tile Hard IP សម្រាប់ Ethernet Intel FPGA IP
- E-Tile Ethernet IP សម្រាប់ Intel Agilex FPGA
- Low Latency Ethernet 10G MAC Intel FPGA IP
- 1G/2.5G/5G/10G Multirate Ethernet PHY Intel FPGA IP
- Interlaken (ជំនាន់ទី 2) Intel FPGA IP
- E-Tile CPRI PHY Intel FPGA IP
- P-Tile Avalon ស្ទ្រីម Intel FPGA IP សម្រាប់ PCI Express
- F-Tile Auto-Negotiation and Link Training for Ethernet Intel FPGA IP
- F-Tile Ethernet Intel FPGA Hard IP
- R-Tile Avalon ស្ទ្រីម Intel FPGA IP សម្រាប់ PCI Express
- F-Tile Avalon ស្ទ្រីម Intel FPGA IP សម្រាប់ PCI Express
- F-Tile Serial Lite IV Intel FPGA IP
- F-Tile Interlaken Intel FPGA IP
- F-Tile Ethernet Multirate Intel FPGA IP
- 5G LDPC-V Intel FPGA IP
- F-Tile Multichannel DMA Intel FPGA IP សម្រាប់ PCI Express
- P-Tile Multichannel DMA Intel FPGA IP សម្រាប់ PCI Express
- Serial Lite IV Intel FPGA IP
- ចំណុចប្រទាក់មេម៉ូរីខាងក្រៅ (EMIF) IP
- JESD204C Intel FPGA IP
ការគាំទ្រប្រព័ន្ធប្រតិបត្តិការ
ព័ត៌មានអំពីការគាំទ្រប្រព័ន្ធប្រតិបត្តិការសម្រាប់ Intel Quartus Prime Design Suite មាននៅលើទំព័រជំនួយប្រព័ន្ធប្រតិបត្តិការរបស់ Intel FPGA webគេហទំព័រ។
Microsoft* Windows* តម្រូវការ
សម្រាប់ប្រព័ន្ធប្រតិបត្តិការ Microsoft* Windows* មួយចំនួន Intel Quartus Prime Design Suite ទាមទារកម្រិតជាក់លាក់នៃប្រព័ន្ធប្រតិបត្តិការ ឬការកំណត់ផ្សេងទៀតដូចខាងក្រោម៖
តារាង 1 ។ តម្រូវការ Microsoft Windows
ប្រព័ន្ធប្រតិបត្តិការ | កម្រិតកំណែដែលត្រូវការ ឬតម្រូវការផ្សេងទៀត។ |
វីនដូ 10 | Windows 10 កំណែ 1607 ឬថ្មីជាងនេះ។
Windows 10 កំណែ 1809 ឬថ្មីជាងនេះ ត្រូវបានណែនាំ។ |
វីនដូ 11 | គ្មាន |
ម៉ាស៊ីនបម្រើវីនដូ * 2016 | គ្មាន |
ម៉ាស៊ីនបម្រើវីនដូ 2019 | គ្មាន |
ការផ្លាស់ប្តូរការគាំទ្រប្រព័ន្ធប្រតិបត្តិការនៅក្នុង Intel Quartus Prime Pro Edition កំណែ 22.4
មិនមានការផ្លាស់ប្តូរការគាំទ្រប្រព័ន្ធប្រតិបត្តិការនៅក្នុង Intel Quartus Prime Pro Edition Version 22.4 ទេ។
ការផ្លាស់ប្តូរការគាំទ្រប្រព័ន្ធប្រតិបត្តិការនៅក្នុង Intel Quartus Prime Pro Edition កំណែ 22.3
ការគាំទ្រសម្រាប់ប្រព័ន្ធប្រតិបត្តិការខាងក្រោមត្រូវបានបន្ថែមជា Intel Quartus Prime Pro Edition កំណែ 22.3៖
- Red Hat Enterprise Linux* 8.4
- Red Hat Enterprise Linux 8.6
- អ៊ូប៊ុនទូ * លីនុច 22.04 LTS
- វីនដូ 11
ការគាំទ្រសម្រាប់ប្រព័ន្ធប្រតិបត្តិការខាងក្រោមត្រូវបានបដិសេធជា Intel Quartus Prime Pro Edition កំណែ 22.3៖
- ម៉ាស៊ីនបម្រើវីនដូ 2016
- Windows 10 កំណែ 1607
កំណែក្រោយរបស់ Windows 10 នៅតែគាំទ្រ។ ផ្ទេរការដំឡើង Windows 10 របស់អ្នកទៅ Windows 10 កំណែ 1809 ឬថ្មីជាងនេះ។
ការគាំទ្រសម្រាប់ប្រព័ន្ធប្រតិបត្តិការទាំងនេះអាចត្រូវបានដកចេញនៅក្នុងការចេញផ្សាយនាពេលអនាគត។
ការគាំទ្រសម្រាប់ប្រព័ន្ធប្រតិបត្តិការខាងក្រោមត្រូវបានដកចេញជា Intel Quartus Prime Pro Edition កំណែ 22.3៖
- CentOS Linux 8.2
- Red Hat Enterprise Linux 8.2
ការផ្លាស់ប្តូរការគាំទ្រប្រព័ន្ធប្រតិបត្តិការនៅក្នុង Intel Quartus Prime Pro Edition កំណែ 22.2
មិនមានការផ្លាស់ប្តូរការគាំទ្រប្រព័ន្ធប្រតិបត្តិការនៅក្នុង Intel Quartus Prime Pro Edition Version 22.2 ទេ។
ការផ្លាស់ប្តូរការគាំទ្រប្រព័ន្ធប្រតិបត្តិការនៅក្នុង Intel Quartus Prime Pro Edition កំណែ 22.1
ការគាំទ្រសម្រាប់ប្រព័ន្ធប្រតិបត្តិការខាងក្រោមត្រូវបានដកចេញជា Intel Quartus Prime Pro Edition កំណែ 22.1៖
- CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat Enterprise Linux 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
- ម៉ាស៊ីនបម្រើវីនដូ 2012
- CentOS Linux 8.2 នៅតែគាំទ្រដោយ Intel Quartus Prime Pro Edition Version 22.1
- Red Hat* Enterprise Linux 8.2 នៅតែគាំទ្រដោយ Intel Quartus Prime Pro Edition Version 22.1
ព័ត៌មានពាក់ព័ន្ធ
ការគាំទ្រប្រព័ន្ធប្រតិបត្តិការ
ការណែនាំអំពីទំហំថាស និងអង្គចងចាំ
ការដំឡើងពេញលេញនៃកម្មវិធី Intel Quartus Prime Pro Edition ទាមទារទំហំថាសដែលមានរហូតដល់ 140 GB។
កំណត់រចនាសម្ព័ន្ធប្រព័ន្ធរបស់អ្នកដើម្បីផ្តល់អង្គចងចាំនិម្មិតបន្ថែមស្មើនឹង RAM រាងកាយដែលបានណែនាំ ដែលតម្រូវឱ្យដំណើរការការរចនារបស់អ្នក។ អង្គចងចាំនិម្មិតបន្ថែមនេះមានប្រសិទ្ធភាពទ្វេដងនៃអង្គចងចាំដែលមានប្រសិទ្ធភាពសរុបដែលអាចដំណើរការបាន។
ការរចនារបស់អ្នក។
ចំណាំ៖ អង្គចងចាំនិម្មិតកម្រិតខ្ពស់អាចលើសពីការណែនាំទាំងនេះ។ ការណែនាំទាំងនេះគឺផ្អែកលើបរិមាណនៃអង្គចងចាំរាងកាយដែលត្រូវការដើម្បីសម្រេចបានរយៈពេលដំណើរការក្នុងរង្វង់ 10% នៃចំនួនដែលសម្រេចបាននៅលើផ្នែករឹងជាមួយនឹងចំនួន RAM ដែលគ្មានកំណត់។
តារាង 2 ។ តម្រូវការអង្គចងចាំសម្រាប់ដំណើរការរចនា Intel Agilex
តម្រូវការទាំងនេះគឺដូចគ្នាសម្រាប់ទាំងការដំឡើងវីនដូ និងលីនុច។
គ្រួសារ | ឧបករណ៍ | RAM រាងកាយដែលបានណែនាំ |
ក្រុមហ៊ុន Intel Agilex | AGFA022, AGFA023, AGFA027 | 64 ជីកាបៃ |
AGFB022, AGFB023, AGFB027 | ||
AGFC023 | ||
AGFD023 | ||
AGIA023, AGIA035, AIGA040 | ||
AGIB022, AGIB023, AGIB027, AGIB041 | ||
AGIC023, AGIC035, AGIC040 | ||
AGID023, AGID041 | ||
AGFA019, AGFB019, AGFC019, AGFD019, AGIB019, AGID019 | 48 ជីកាបៃ | |
AGFA006, AGFA008, AGFA012, AGFA014 | 32 ជីកាបៃ | |
AGFB006, AGFB008, AGFB012, AGFB014 |
តារាង 3 ។ តម្រូវការអង្គចងចាំសម្រាប់ដំណើរការ Intel Arria® 10 Designs
តម្រូវការទាំងនេះគឺដូចគ្នាសម្រាប់ទាំងការដំឡើងវីនដូ និងលីនុច។
គ្រួសារ | ឧបករណ៍ | RAM រាងកាយដែលបានណែនាំ |
Intel Arria® 10 | 10AT115, 10AX115 | 48 ជីកាបៃ |
10AT090, 10AX090 | 44 ជីកាបៃ | |
10AS066, 10AX066 | 32 ជីកាបៃ | |
10AS057, 10AX057 | 30 ជីកាបៃ | |
10AS048, 10AX048 | 28 ជីកាបៃ | |
10AS032, 10AX032 | 24 ជីកាបៃ | |
10AS027, 10AX027 | 22 ជីកាបៃ | |
10AS022, 10AX022 | 20 ជីកាបៃ | |
10AS016, 10AX016 | 18 ជីកាបៃ |
តារាង 4 ។ តម្រូវការអង្គចងចាំសម្រាប់ដំណើរការ Intel Cyclone® 10 GX Designs
តម្រូវការទាំងនេះគឺដូចគ្នាសម្រាប់ទាំងការដំឡើងវីនដូ និងលីនុច។
គ្រួសារ | ឧបករណ៍ | RAM រាងកាយដែលបានណែនាំ |
Intel Cyclone® 10 GX | 10CX85, 10CX105, 10CX150, 10CX220 | 18 ជីកាបៃ |
តារាង 5 ។ តម្រូវការអង្គចងចាំសម្រាប់ដំណើរការរចនា Intel Stratix® 10
តម្រូវការទាំងនេះគឺដូចគ្នាសម្រាប់ទាំងការដំឡើងវីនដូ និងលីនុច។
គ្រួសារ | ឧបករណ៍ | RAM រាងកាយដែលបានណែនាំ |
Intel Stratix® 10 | 1SD21BP, 1SD280P, 1SG10MH, 1SG210H, 1SG211H,
1SG250H, 1SG250L, 1SG280H, 1SG280L, 1SM21BE, 1SM21BH, 1SM21CH, 1ST210E, 1ST250E, 1ST280E, 1SX210H, 1SX250H, 1SX250L, 1SX280H, 1SX280L |
64 ជីកាបៃ |
1SG165H, 1SG166H, 1SM16BE, 1SM16BH, 1SM16CH,
1ST165E, 1SX165H |
48 ជីកាបៃ | |
1SD110P, 1SG040H, 1SG065H, 1SG085H, 1SG110H,
1ST040E, 1ST085E, 1ST110E, 1SX065H, 1SX085H,1SX110H, 1SX040H |
32 ជីកាបៃ |
ព័ត៌មានអាជ្ញាប័ណ្ណ Intel Quartus Prime
ប្រសិនបើអ្នកប្រើអាជ្ញាប័ណ្ណអណ្តែតទឹកជាមួយ Intel Quartus Prime កំណែ 22.4 សូមប្រាកដថាអ្នកប្រើកំណែថ្មីបំផុតនៃដេមិនអាជ្ញាប័ណ្ណ FlexLM ។
សម្រាប់ព័ត៌មានបន្ថែមអំពីអាជ្ញាប័ណ្ណ Intel Quartus Prime សូមមើលការដំឡើង និងអាជ្ញាប័ណ្ណកម្មវិធី Intel FPGA ។
ព័ត៌មានពាក់ព័ន្ធ
- ការដំឡើងកម្មវិធី Intel FPGA និងអាជ្ញាប័ណ្ណ
- ដេមិនអាជ្ញាប័ណ្ណ FlexLM សម្រាប់កម្មវិធី Intel FPGA
ការគាំទ្រឧបករណ៍ និងស្ថានភាពដកចេញ
តារាង 6 ។ ការគាំទ្រឧបករណ៍ចុងក្រោយ
ការចងក្រងចុងក្រោយ ការក្លែងធ្វើ ការវិភាគពេលវេលា និងការគាំទ្រកម្មវិធីគឺអាចរកបានសម្រាប់ឧបករណ៍ដែលបានរាយក្នុងតារាងនេះ។ ឧបករណ៍ទាំងនេះមានម៉ូដែលឧបករណ៍ចុងក្រោយ ប៊ីតស្ទ្រីម និងកម្មវិធីបង្កប់។
គ្រួសារឧបករណ៍ | ឧបករណ៍ |
ក្រុមហ៊ុន Intel Agilex | AGFA012R24B, AGFA014R24B, AGFA019R25A, AGFA022R25A, AGFA023R25A, AGFA027R25A
AGFB012R24B, AGFB014R24B, AGFB019R25A, AGFB022R25A, AGFB023R25A, AGFB027R25A AGFC019R25A, AGFC023R25A AGFD019R25A, AGFD023R25A |
ក្រុមហ៊ុន Intel Arria ១០ | 10AS016, 10AS022, 10AS027, 10AS032, 10AS048, 10AS057,10AS066
10AT090, 10AT115 10AX016, 10AX022, 10AX027, 10AX032, 10AX048, 10AX057, 10AX066, 10AX090, ១២៥៩០១ |
ស៊ីក្លូស៊ីក្លូ ១០ ជី។ អេ | 10CX085, 10CX105, 10CX150, 10CX220 |
Intel Stratix 10 | 1SD110P, 1SD21BP, 1SD280P
1SG040H, 1SG065H, 1SG085H, 1SG10MH, 1SG110H, 1SG165H, 1SG166H, 1SG210H, 1SG211H, 1SG250H, 1SG250L, 1SG280H, 1SG280L 1SM16BE, 1SM16BH, 1SM16CH, 1SM21BE, 1SM21BH, 1SM21CH, 1ST040E, 1ST085E, 1ST110E, 1ST165E, 1ST210E, 1ST250E, 1ST280E 1SX040H, 1SX065H, 1SX085H, 1SX110H, 1SX165H, 1SX210H, 1SX250H, 1SX250L, 1SX280H, 1SX280L |
តារាង 7 ។ ជំនួយឧបករណ៍បឋម
ការចងក្រងពេញលេញ ការក្លែងធ្វើ ការវិភាគពេលវេលា និងការគាំទ្រកម្មវិធីគឺអាចរកបានសម្រាប់ឧបករណ៍ដែលបានរាយក្នុងតារាងនេះ។
គ្រួសារឧបករណ៍ | ឧបករណ៍ |
ក្រុមហ៊ុន Intel Agilex | AGFA012R24A, AGFA012R24C-AA, AGFA014R24A, AGFA014R24A-R0, AGFA014R24C-AA, |
AGFA022R24C, AGFA022R31C, AGFA022R31C-AA, AGFA023R25A-R0, AGFA027R24C, | |
AGFA027R24C-R0, AGFA027R24C-R2, AGFA027R25A-R0, AGFA027R31C, | |
AGFA027R31C-AA, AGFA027R31C-R0 | |
AGFB012R24A, AGFB012R24C-AA, AGFB014R24A, AGFB014R24A-R0, AGFB014R24C-AA, | |
AGFB022R24C, AGFB022R31C, AGFB022R31C-AA, AGFB023R25A-R0, AGFB027R24C, | |
AGFB027R24C-R0, AGFB027R24C-R2, AGFB027R25A-R0, AGFB027R31C, | |
AGFB027R31C-AA, AGFB027R31C-R0 | |
AGFC023R25A-R0 | |
AGFD023R25A-R0 | |
AGIA023R18A-R0, AGIA040R39A-R0 | |
AGIB022R31B, AGIB022R31B-AA, AGIB023R18A-R0, AGIB027R29A-R0, AGIB027R29A-R1, | |
AGIB027R29A-R2, AGIB027R29A-R3, AGIB027R31B, AGIB027R31B-AA, AGIB027R31B-R0 | |
AGIC023R18A-R0, AGIC040R39A-R0 | |
AGID023R18A-R0 | |
Intel Stratix 10 | 1SD110P-S1 |
1SG280H-S3, 1SG280L-S3 | |
1SX280H-S3, 1SX280L-S3 |
តារាង 8 ។ ជំនួយឧបករណ៍ជាមុន
ការគាំទ្រការចងក្រង ការក្លែងធ្វើ និងការវិភាគពេលវេលាត្រូវបានផ្តល់ជូនសម្រាប់ឧបករណ៍ទាំងនេះ។ កម្មវិធីចងក្រងបង្កើតព័ត៌មាន pinout សម្រាប់ឧបករណ៍ទាំងនេះនៅក្នុងការចេញផ្សាយនេះ ប៉ុន្តែមិនបង្កើតកម្មវិធីទេ។ files.
គ្រួសារឧបករណ៍ | ឧបករណ៍ |
ក្រុមហ៊ុន Intel Agilex | AGFA006R16A, AGFA006R24C, AGFA008R16A, AGFA008R24C, AGFA012R24C, AGFA014R24C, AGFA019R24C, AGFA019R31C, AGFA023R24C, AGFA023R31C |
AGFB006R16A, AGFB006R24C, AGFB008R16A, AGFB008R24C, AGFB012R24C, | |
AGFB014R24C, AGFB019R24C, AGFB019R31C, AGFB023R24C, AGFB023R31C | |
AGFC019R24C, AGFC019R31C, AGFC023R24C, AGFC023R31C | |
AGFD019R24C, AGFD019R31C, AGFD023R24C, AGFD023R31C | |
AGIA035R39A, AGIA040R39A | |
AGIB019R18A, AGIB019R31B, AGIB022R29A, AGIB023R18A, AGIB023R31B, | |
AGIB027R29A, AGIB027R29B, AGIB041R29D-R0 | |
AGIC035R39A, AGIC040R39A | |
AGID019R18A, AGID019R31B, AGID023R18A, AGID023R31B, AGID041R29-R0 |
តារាង 9 ។ ជំនួយឧបករណ៍ដំបូង
ការគាំទ្រការចងក្រង ការក្លែងធ្វើ និងការវិភាគពេលវេលាត្រូវបានផ្តល់ជូនសម្រាប់ឧបករណ៍ទាំងនេះ។ ការសរសេរកម្មវិធី files និងព័ត៌មាន pinout មិនត្រូវបានបង្កើតសម្រាប់ឧបករណ៍ទាំងនេះនៅក្នុងការចេញផ្សាយនេះទេ។
គ្រួសារឧបករណ៍ | ឧបករណ៍ |
មិនមានឧបករណ៍ដែលមានស្ថានភាពនេះនៅក្នុង Intel Quartus Prime Pro Edition កំណែ 22.4 ទេ។ |
ការផ្លាស់ប្តូរនៅក្នុងការគាំទ្រឧបករណ៍
- ដោយចាប់ផ្តើមជាមួយ Intel Quartus Prime Version 20.1 កម្រិតជំនួយឧបករណ៍ថ្មីត្រូវបានណែនាំ៖ ជំនួយឧបករណ៍បឋម។
- សម្រាប់ឧបករណ៍ដែលមានជំនួយឧបករណ៍បឋម Intel Quartus Prime ផ្តល់នូវការចងក្រងពេញលេញ ការក្លែងធ្វើ ការវិភាគពេលវេលា និងការគាំទ្រការសរសេរកម្មវិធី ប៉ុន្តែម៉ូដែលឧបករណ៍ ប៊ីតស្ទ្រីម និងកម្មវិធីបង្កប់សម្រាប់ឧបករណ៍មិនត្រូវបានបញ្ចប់ទេ។
- ឧបករណ៍ដែលមានការគាំទ្រឧបករណ៍ចុងក្រោយ (ពីមុនពេញ) មានម៉ូដែលឧបករណ៍ចុងក្រោយ ប៊ីតស្ទ្រីម និងកម្មវិធីបង្កប់។
- និយមន័យនៃកម្រិតជំនួយឧបករណ៍ដំបូង និងជាមុនគឺមិនផ្លាស់ប្តូរទេ។
- សម្រាប់ព័ត៌មានអំពីបញ្ហាឧបករណ៍ដែលគេស្គាល់ និងដំណោះស្រាយ សូមមើលមូលដ្ឋានចំណេះដឹង Intel FPGA ។
ការគាំទ្រឧបករណ៍ថ្មី។
ការគាំទ្រសម្រាប់ឧបករណ៍ខាងក្រោមត្រូវបានបន្ថែមទៅ Intel Quartus Prime Pro Edition កំណែ 22.4 ជាមួយនឹងការគាំទ្រឧបករណ៍ Advance៖
- AGIB041R29D-R0
- AGID041R29D-R0
បានផ្លាស់ប្តូរការគាំទ្រឧបករណ៍
ការគាំទ្រសម្រាប់ឧបករណ៍ខាងក្រោមផ្លាស់ទីពីការគាំទ្រឧបករណ៍ជាមុនទៅការគាំទ្រឧបករណ៍បឋម៖
- AGFA022R24C, AGFA022R31C, AGFA027R24C, AGFA027R31C
- AGFB022R24C, AGFB022R31C, AGFB027R24C, AGFB027R31C
- AGIB022R31B, AGIB027R31B
ការផ្លាស់ប្តូរការគាំទ្រ F-Tile
សម្រាប់ការរចនា Intel Agilex ជាមួយនឹង F-Tile IPs ដែលត្រូវបានបង្កើតឡើងដោយ Intel Quartus Prime Pro Edition Version 22.1 ឬមុននេះ អ្នកត្រូវតែបង្កើត F-Tile IP របស់អ្នកឡើងវិញនៅក្នុង Intel Quartus Prime Pro Edition Version 22.2 ឬខ្ពស់ជាងនេះ។
ឧបករណ៍ខាងក្រោមត្រូវបានប៉ះពាល់៖
- AGFA006R16A, AGFA008R16A, AGFA022R24C, AGFA022R31C, AGFA027R24C, AGFA027R31C
- AGFB006R16A, AGFB008R16A, AGFB022R24C, AGFB022R31C, AGFB027R24C, AGFB027R31C
- AGIB022R29A, AGIB022R31B, AGIB027R29A, AGIB027R31B
គំរូពេលវេលា គំរូថាមពល និងស្ថានភាពឧបករណ៍
មានតែឧបករណ៍ដែលមានគំរូពេលវេលា ម៉ូដែលថាមពល និងស្ថានភាពឧបករណ៍នៃ Final គឺសមរម្យសម្រាប់ប្រព័ន្ធផលិតកម្ម។
តារាង 10 ។ គំរូពេលវេលា ម៉ូដែលថាមពល និងស្ថានភាពឧបករណ៍សម្រាប់ឧបករណ៍ Intel Agilex
គ្រួសារឧបករណ៍ | ឧបករណ៍ | ស្ថានភាពគំរូពេលវេលា | ស្ថានភាពម៉ូដែលថាមពល | ស្ថានភាពឧបករណ៍ |
ក្រុមហ៊ុន Intel Agilex | AGFC023R25A-AE, AGFD023R25A-AE | ចុងក្រោយ - 22.3 | ចុងក្រោយ - 22.3 | ចុងក្រោយ - 22.3 |
AGFA019R25A, AGFA023R25A | ចុងក្រោយ - 22.2 | ចុងក្រោយ - 22.2 | ចុងក្រោយ - 22.2 | |
AGFB019R25A, AGFB023R25A | ||||
AGFC019R25A, AGFC023R25A | ||||
AGFD019R25A, AGFD023R25A | ||||
AGFA012R24B, AGFA014R24B, AGFA022R25A, AGFA027R25A | ចុងក្រោយ - 21.3 | ចុងក្រោយ - 21.3 | ចុងក្រោយ - 21.3 | |
AGFB012R24B, AGFB014R24B, | ||||
AGFB022R25A, AGFB027R25A | ||||
AGFA006R16A, AGFA006R24C, | បឋម | បឋម | បឋម | |
AGFA008R16A, AGFA008R24C, | ||||
AGFA012R24C, AGFA012R24C-AA, | ||||
AGFA014R24C, AGFA014R24C-AA | ||||
AGFA019R24C, AGFA019R31C, | ||||
AGFA022R24C, AGFA022R31C, | ||||
AGFA022R31C-AA, AGFA023R24C, | ||||
AGFA023R31C, AGFA027R24C, | ||||
AGFA027R31C, AGFA027R31C-AA | ||||
AGFB006R16A, AGFB006R24C, | ||||
AGFB008R16A AGFB008R24C, | ||||
AGFB012R24C, AGFB012R24C-AA, | ||||
AGFB014R24C, AGFB014R24C-AA, | ||||
AGFB019R24C, AGFB019R31C, | ||||
AGFB022R24C, AGFB022R31C, | ||||
AGFB022R31C-AA, AGFB023R24C, | ||||
AGFB023R31C, AGFB027R24C, | ||||
AGFB027R31C, AGFB027R31C-AA | ||||
AGFC019R24C, AGFC019R31C, | ||||
AGFC023R24C, AGFC023R31C | ||||
AGFD019R24C, AGFD019R31C, | ||||
AGFD023R24C, AGFD023R31C | ||||
AGIA035R39A, AGIA040R39A | ||||
AGIB019R18A, AGIB019R31B, | ||||
AGIB022R29A, AGIB022R31B, | ||||
AGIB022R31B-AA, AGIB023R18A, | ||||
AGIB023R31B, AGIB027R29A, | ||||
AGIB027R29B, AGIB027R31B, | ||||
AGIB027R31B-AA | ||||
AGIC035R29A, AGIC040R39A | ||||
AGID019R18A, AGID019R31B, | ||||
AGID023R18A, AGID023R31B | ||||
AGFA014R24A-R0, AGFA023R25A-R0, | បឋម | បឋម | បឋម | |
AGFA027R24C-R0, AGFA027R24C-R2, | ||||
AGFA027R25A-R0, AGFA027R31C-R0 | ||||
AGFB014R24A-R0, AGFB023R25A-R0, | ||||
AGFB027R24C-R0, AGFB027R24C-R2, | ||||
AGFB027R25A-R0, AGFA027R31C-R0 | ||||
AFGC023R25A-R0 | ||||
AFGD023R25A-R0 | ||||
AGIA023R18A-R0, AGIA040R39A-R0 | ||||
AGIB023R18A-R0, AGIB027R29A-R0, | ||||
AGIB027R29A-R1, AGIB027R29A-R2, | ||||
AGIB027R29A-R3, AGIB027R31B-R0, | ||||
AGIB041R29D-R0 | ||||
AGIC023R18A-R0, AGIC040R39A-R0 | ||||
AGID023R18A-R0, AGID041R29D-R0 |
តារាង 11 ។ គំរូពេលវេលា គំរូថាមពល និងស្ថានភាពឧបករណ៍សម្រាប់ឧបករណ៍ Intel Arria 10
គ្រួសារឧបករណ៍ | ឧបករណ៍ | ស្ថានភាពគំរូពេលវេលា | ស្ថានភាពម៉ូដែលថាមពល | ស្ថានភាពឧបករណ៍ |
ក្រុមហ៊ុន Intel Arria ១០ | 10AX016, 10AS016, 10AX022, 10AS022,
10AX027, 10AS027, 10AX032, 10AS032 |
ចុងក្រោយ – 16.1 (3)
(4) |
ចុងក្រោយ - 17.0 | ចុងក្រោយ - 17.0 |
10AX048, 10AS048 | ចុងក្រោយ – 16.0.2 (4) | ចុងក្រោយ - 17.0 | ចុងក្រោយ - 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066,
10AT090, 10AX090 |
ចុងក្រោយ – 16.0.1 (4) | ចុងក្រោយ - 16.0.1 | ចុងក្រោយ - 16.0.1 | |
10AX115, 10AT115 | ចុងក្រោយ – 16.0 (4) | ចុងក្រោយ - 16.0 | ចុងក្រោយ - 16.0 |
តារាង 12 ។ គំរូពេលវេលា គំរូថាមពល និងស្ថានភាពឧបករណ៍សម្រាប់ឧបករណ៍ Intel Cyclone 10
គ្រួសារឧបករណ៍ | ឧបករណ៍ | ស្ថានភាពគំរូពេលវេលា | ស្ថានភាពម៉ូដែលថាមពល | ស្ថានភាពឧបករណ៍ |
ស៊ីក្លូស៊ីក្លូ ១០ ជី។ អេ | 10CX085, 10CX105, 10CX150, 10CX220 | ចុងក្រោយ - 17.0 | ចុងក្រោយ - 18.0 | ចុងក្រោយ - 18.0 |
តារាង 13 ។ គំរូពេលវេលា គំរូថាមពល និងស្ថានភាពឧបករណ៍សម្រាប់ឧបករណ៍ Intel Stratix 10
គ្រួសារឧបករណ៍ | ឧបករណ៍ | ស្ថានភាពគំរូពេលវេលា | ស្ថានភាពម៉ូដែលថាមពល | ស្ថានភាពឧបករណ៍ |
Intel Stratix 10 | 1SG280L, 1SX280L, 1SG250L, 1SX250L | ចុងក្រោយ - 18.0.1 | ចុងក្រោយ - 18.1.1 | ចុងក្រោយ - 18.1.1 |
1SG280H, 1SX280H, 1SG250H, 1SX250H,
1SG210H, 1SX210H, 1SG165H, 1SX165H, 1SG110H, 1SX110H, 1SG085H, 1SX085H |
ចុងក្រោយ - 18.1.1 | ចុងក្រោយ - 18.1.1 | ចុងក្រោយ - 18.1.1 | |
1ST280E, 1ST250E | ចុងក្រោយ - 18.1.1 | ចុងក្រោយ - 19.4 | ចុងក្រោយ - 19.4 | |
1SM21BH, 1SM21CH, 1SM16BH, 1SM16CH | ចុងក្រោយ - 19.1 | ចុងក្រោយ - 19.1 | ចុងក្រោយ - 19.1 | |
1SG10MH, 1SG166H, 1SG211H | ចុងក្រោយ - 19.1 | ចុងក្រោយ - 19.3 | ចុងក្រោយ - 19.3 | |
1ST210E, 1SM21BE, 1ST165E, 1SM16BE | ចុងក្រោយ - 19.2 | ចុងក្រោយ - 19.4 | ចុងក្រោយ - 19.4 | |
1SD280P, 1ST040E, 1ST085E, 1ST110E | ចុងក្រោយ - 20.1 | ចុងក្រោយ - 20.1 | ចុងក្រោយ - 20.1 | |
1SD110P | ចុងក្រោយ - 20.2 | ចុងក្រោយ - 20.2 | ចុងក្រោយ - 20.2 | |
1SD21BP | ចុងក្រោយ - 20.3 | ចុងក្រោយ - 20.3 | ចុងក្រោយ - 20.3 | |
1SG040H, 1SX040H | ចុងក្រោយ - 20.3 | ចុងក្រោយ - 20.3 | ចុងក្រោយ - 21.1 | |
1SG065H, 1SX065H | ចុងក្រោយ - 21.2 | ចុងក្រោយ - 21.2 | ចុងក្រោយ - 21.2 |
- 3) ឧបករណ៍ដែលមានកម្រិតល្បឿន -1 ត្រូវបានបញ្ចប់នៅក្នុងកម្មវិធី Intel Quartus Prime កំណែ 17.0
- (4) ឧបករណ៍ថ្នាក់យោធាទាំងអស់ត្រូវបានបញ្ចប់នៅក្នុងកម្មវិធី Intel Quartus Prime កំណែ 18.0.1 ។
ម៉ូដែល IBIS
តារាង 14 ។ ស្ថានភាពគំរូ IBIS សម្រាប់កម្មវិធី Intel Quartus Prime Pro Edition ការចេញផ្សាយកំណែ 22.4
គ្រួសារឧបករណ៍ | ស្ថានភាពគំរូ IBIS |
ក្រុមហ៊ុន Intel Agilex | យោងទៅ ម៉ូដែល IBIS សម្រាប់ឧបករណ៍ Intel. |
ក្រុមហ៊ុន Intel Arria ១០ | |
ស៊ីក្លូស៊ីក្លូ ១០ ជី។ អេ | |
Intel Stratix 10 |
ចាប់ផ្តើមជាមួយនឹងគ្រួសារឧបករណ៍ Intel Agilex ម៉ូដែល IBIS អាចប្រើបានតែលើបណ្តាញដូចខាងក្រោម web ទំព័រ៖ ម៉ូដែល IBIS សម្រាប់ឧបករណ៍ Intel FPGA ។ ទំព័រនេះត្រូវបានធ្វើបច្ចុប្បន្នភាពជាគំរូ IBIS សម្រាប់ឧបករណ៍ដែលអាចប្រើបាន ឬត្រូវបានធ្វើបច្ចុប្បន្នភាព។
ព័ត៌មានចំណុចប្រទាក់ EDA
តារាង 15 ។ ឧបករណ៍សំយោគដែលគាំទ្រកម្មវិធី Intel Quartus Prime Pro Edition ការចេញផ្សាយកំណែ 22.4
ឧបករណ៍សំយោគ | កំណែ |
Siemens EDA Precision* FPGA សំយោគ | Siemens EDA Precision FPGA Synthesis កំណែដែលគាំទ្រកម្មវិធី Intel Quartus Prime ជាធម្មតាត្រូវបានចេញផ្សាយបន្ទាប់ពីការចេញផ្សាយកម្មវិធី Intel Quartus Prime ។ ទាក់ទង Siemens EDA សម្រាប់កំណែរបស់ Siemens EDA Precision FPGA Synthesis ដែលគាំទ្រ Intel Quartus Prime Pro Edition Software Version 22.4 ។ |
Synopsys* Synplify*, Synplify Pro* និង Synplify Premier | Synopsys Synplify, Synplify Pro និង Synplify Premier កំណែដែលគាំទ្រកម្មវិធី Intel Quartus Prime ជាធម្មតាត្រូវបានចេញផ្សាយបន្ទាប់ពីការចេញផ្សាយកម្មវិធី Intel Quartus Prime ។ ទំនាក់ទំនង Synopsys សម្រាប់កំណែនៃ Synopsys Synplify, Synplify Pro និង Synplify Premier ដែលគាំទ្រ Intel Quartus Prime Pro Edition Software Version 22.4។ |
តារាង 16 ។ ឧបករណ៍ក្លែងធ្វើដែលគាំទ្រកម្មវិធី Intel Quartus Prime Pro Edition ការចេញផ្សាយកំណែ 22.4
ឧបករណ៍ក្លែងធ្វើខាងក្រោមគាំទ្រ RTL និងការក្លែងធ្វើកម្រិតទ្វារមុខងារ។ មានតែឧបករណ៍ក្លែងធ្វើ 64 ប៊ីតប៉ុណ្ណោះដែលត្រូវបានគាំទ្រ។
ឧបករណ៍ក្លែងធ្វើ | កំណែ |
Aldec Active-HDL | 13.0 (សម្រាប់តែ Windows) |
Aldec Riviera-PRO | 2021.10 |
Cadence* Xcelium* Parallel Logic Simulation | 21.09.003 (លីនុច* តែប៉ុណ្ណោះ) |
Questa-Intel FPGA Edition | 2022.1 |
Siemens EDA Questa Advanced Simulator | 2021.4 |
Synopsys VCS* និង VCS MX | S-2021.09-1 (លីនុចតែប៉ុណ្ណោះ) |
Questa-Intel FPGA Edition ទាមទារ FlexLM អាជ្ញាប័ណ្ណដេមិន កំណែ 11.16.4.0 (ឬក្រោយនេះ)។ អ្នកអាចទទួលបានដេមិនអាជ្ញាប័ណ្ណពី FlexLM License Daemons សម្រាប់ Intel FPGA Software web ទំព័រ។
អ្នកអាចទទួលបាន Intel FPGA Edition នៃឧបករណ៍ក្លែងធ្វើពីមជ្ឈមណ្ឌលទាញយកសម្រាប់ FPGAs ។
ការគាំទ្រប្រព័ន្ធប្រតិបត្តិការសម្រាប់ Questa-Intel FPGA Edition កំណែ 2022.2
- Red Hat Enterprise Linux 8
- SUSE* Linux Enterprise Server 12
- ស៊ូស៊ីលីនុចសហគ្រាសម៉ាស៊ីនបម្រើ ១៥
- Windows 10 (64 ប៊ីត)
ព័ត៌មានពាក់ព័ន្ធ
- កម្មវិធីរចនា Intel Quartus Prime Pro Edition សម្រាប់លីនុច
- កម្មវិធីរចនា Intel Quartus Prime Pro Edition សម្រាប់ Windows
ការផ្ទៀងផ្ទាត់កំចាត់មេរោគ
ការចេញផ្សាយកម្មវិធី Intel Quartus Prime កំណែ 22.4 ត្រូវបានផ្ទៀងផ្ទាត់មេរោគដោយឥតគិតថ្លៃជាមួយនឹងកម្មវិធីខាងក្រោម៖
កម្មវិធីផ្ទៀងផ្ទាត់កំចាត់មេរោគ
បន្ទាត់ពាក្យបញ្ជា McAfee VirusScan សម្រាប់ Linux64 កំណែ៖ 7.0.0.477
កំណែម៉ាស៊ីន AV៖ 6300.9389 សម្រាប់ Linux64។
កំណែសំណុំទិន្នន័យ៖ ១០៥៥៩ បង្កើតថ្ងៃទី ១២ ខែធ្នូ ឆ្នាំ ២០២២
បញ្ហាកម្មវិធីត្រូវបានដោះស្រាយ
សំណើសេវាកម្មអតិថិជនខាងក្រោមត្រូវបានជួសជុល ឬត្រូវបានដោះស្រាយនៅក្នុង Intel Quartus Prime Pro Edition កំណែ 22.4៖
តារាង 17. បញ្ហាដែលត្រូវបានដោះស្រាយនៅក្នុង Intel Quartus Prime Pro Edition កំណែ 22.4
លេខស្នើសុំសេវាអតិថិជន | |||||||
00387444 | 00553391 | 00634869 | 00644742 | 00661097 | 00669572 | 00673194 | 00692360 |
00694974 | 00698210 | 00698723 | 00702882 | 00702926 | 00703707 | 00704117 | 00706447 |
00708964 | 00710869 | 00712835 | 00714701 | 00716383 | 00726915 | 00729961 | 00731682 |
00733009 | 00733051 | 00733220 | 00733414 | 00733701 | 00736181 | 00736498 | 00736688 |
00737681 | 00737702 | 00737956 | 00738733 | 00739075 | 00740688 | 00741731 | 00742654 |
00743029 | 00743329 | 00745149 | 00745934 | 00746401 | 00748148 | 00748465 | 00748760 |
00749380 | 05355807 | 05640050 | 11340561 |
បំណះកម្មវិធីរួមបញ្ចូលនៅក្នុងការចេញផ្សាយនេះ។
Intel Quartus Prime Pro Edition កំណែ 22.4 មានបំណះខាងក្រោមសម្រាប់កំណែមុននៃកម្មវិធី Intel Quartus Prime Pro Edition៖
តារាង 18 ។ បំណះកម្មវិធីរួមបញ្ចូលនៅក្នុង Intel Quartus Prime Pro Edition កំណែ 22.4
កំណែសូហ្វវែរ | បំណះ | លេខស្នើសុំសេវាអតិថិជន |
Intel Quartus Prime កំណែ 22.3 | 0.27fw | – |
Intel Quartus Prime កំណែ 22.3 | 0.25 | 00745149 |
Intel Quartus Prime កំណែ 22.3 | 0.21 | 00743329 |
Intel Quartus Prime កំណែ 22.3 | 0.19 | – |
Intel Quartus Prime កំណែ 22.3 | 0.18 | 00735205 |
Intel Quartus Prime កំណែ 22.3 | 0.15 | – |
Intel Quartus Prime កំណែ 22.3 | 0.06 | – |
Intel Quartus Prime កំណែ 22.3 | 0.04 | – |
Intel Quartus Prime កំណែ 22.3 | 0.01 | – |
Intel Quartus Prime កំណែ 22.2 | 0.39fw | – |
Intel Quartus Prime កំណែ 22.2 | 0.38 | 00736498 |
Intel Quartus Prime កំណែ 22.2 | 0.36 | 00735205 |
Intel Quartus Prime កំណែ 22.2 | 0.35 | 00716738 |
Intel Quartus Prime កំណែ 22.2 | 0.33 | – |
Intel Quartus Prime កំណែ 22.2 | 0.31 | 00731682 |
Intel Quartus Prime កំណែ 22.2 | 0.3 | – |
Intel Quartus Prime កំណែ 22.2 | 0.29fw | 00706447 |
Intel Quartus Prime កំណែ 22.2 | 0.28fw | – |
Intel Quartus Prime កំណែ 22.1 | 0.40fw | – |
Intel Quartus Prime កំណែ 22.1 | 0.37 | 00733220 |
Intel Quartus Prime កំណែ 22.1 | 0.34fw | – |
Intel Quartus Prime កំណែ 22.1 | 0.32fw | 00715716 |
Intel Quartus Prime កំណែ 21.4 | 0.80fw | 00000000 |
Intel Quartus Prime កំណែ 21.4 | 0.78 | 00736498 |
Intel Quartus Prime កំណែ 21.4 | 0.77fw | 00706447 |
Intel Quartus Prime កំណែ 21.4 | 0.72 | 00000000 |
Intel Quartus Prime កំណែ 21.4 | 0.69 | 00698723 |
Intel Quartus Prime កំណែ 21.4 | 0.66 | 00731682 |
Intel Quartus Prime កំណែ 21.4 | 0.64 | – |
Intel Quartus Prime កំណែ 21.3 | 0.5 | 00735343 |
Intel Quartus Prime កំណែ 21.2 | 0.49 | 00733220 |
បន្ត… |
កំណែសូហ្វវែរ | បំណះ | លេខស្នើសុំសេវាអតិថិជន |
Intel Quartus Prime កំណែ 21.2 | 0.48 | – |
Intel Quartus Prime កំណែ 21.2 | 0.47 | 00716383 |
Intel Quartus Prime កំណែ 21.2 | 0.43 | 00698723 |
Intel Quartus Prime កំណែ 21.1 | 0.63 | 05418969 |
Intel Quartus Prime កំណែ 20.4 | 0.53 | – |
បញ្ហាកម្មវិធី Intel Quartus Prime ដែលស្គាល់ចុងក្រោយបំផុត។
- ព័ត៌មានអំពីបញ្ហាដែលគេស្គាល់ដែលប៉ះពាល់ដល់ Intel Quartus Prime Pro Edition Version 22.4 មាននៅក្នុង Intel FPGA Knowledge Base ។
- សម្រាប់ព័ត៌មានចុងក្រោយបំផុតអំពីបញ្ហាដែលប៉ះពាល់ដល់ Intel Quartus Prime Pro Edition Version 22.4, review អត្ថបទមូលដ្ឋានចំណេះដឹង Intel FPGA ដែលអនុវត្តចំពោះ Intel Quartus Prime Pro Edition កំណែ 22.4 ។
- អ្នកអាចស្វែងរកព័ត៌មានបញ្ហាដែលគេស្គាល់សម្រាប់កំណែមុននៃកម្មវិធី Quartus Prime នៅលើ Intel FPGA Knowledge Base web ទំព័រ។
- ព័ត៌មានអំពីបញ្ហាកម្មវិធីដែលគេស្គាល់ដែលប៉ះពាល់ដល់កំណែមុនរបស់ Quartus
- កម្មវិធី II មាននៅលើ Intel Quartus Prime និង Quartus II Software Support web ទំព័រ។
- ព័ត៌មានអំពីបញ្ហាដែលប៉ះពាល់ដល់ Intel FPGA IP Library មាននៅក្នុងកំណត់ចំណាំចេញផ្សាយសម្រាប់ IP នីមួយៗ។ អ្នកអាចស្វែងរកកំណត់ចំណាំចេញផ្សាយ IP នៅលើសន្ទស្សន៍ឯកសារ Intel FPGA web ទំព័រ។
ព័ត៌មានពាក់ព័ន្ធ
- មូលដ្ឋានចំណេះដឹង Intel FPGA
- ការគាំទ្រកម្មវិធី Intel Quartus Prime និង Quartus II
- Intel FPGAs និងឧបករណ៍ដែលអាចសរសេរកម្មវិធីបានចេញផ្សាយកំណត់ចំណាំ
Intel Quartus Prime Pro Edition Software and Device Support Release Notes Archives
សម្រាប់កំណែចុងក្រោយបំផុត និងមុននៃកំណត់ចំណាំចេញផ្សាយទាំងនេះ សូមមើលកម្មវិធី Intel Quartus Prime Pro Edition Software និង Device Support Notes ។ ប្រសិនបើកំណែកម្មវិធីមិនត្រូវបានរាយបញ្ជី កំណត់ចំណាំចេញផ្សាយសម្រាប់កំណែកម្មវិធីមុនត្រូវបានអនុវត្ត។
កំណែកម្មវិធី Intel Quartus Prime Pro Edition ចេញផ្សាយ
ប្រវត្តិនៃការកែប្រែឯកសារ
កំណែឯកសារ | កំណែ Intel Quartus Prime | ការផ្លាស់ប្តូរ |
2022.12.19 | 22.4 | • ចេញផ្សាយដំបូង។ |
Intel Quartus Prime Pro Edition៖ កំណែ 22.4 កម្មវិធី និងកំណត់ចំណាំការចេញផ្សាយជំនួយឧបករណ៍
ឯកសារ/ធនធាន
![]() |
កម្មវិធី intel 22.4 Quartus Prime Pro Edition [pdf] ការណែនាំអ្នកប្រើប្រាស់ កំណែ 22.4, 22.4, 22.4 កម្មវិធី Quartus Prime Pro Edition, កម្មវិធី Quartus Prime Pro Edition, កម្មវិធី Prime Pro Edition, កម្មវិធី Pro Edition, កម្មវិធីបោះពុម្ព, កម្មវិធី |