Cores IP Àireamhachd Iomlan FPGA
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores
Air ùrachadh airson Intel® Quartus® Prime Design Suite: 20.3
Tionndadh air-loidhne Cuir fios air ais
UG-01063
Àireamh a' Chlàir: 683490 Tionndadh: 2020.10.05
Clàr-innse
Clàr-innse
1. Cores IP Àireamhachd Iomlan Intel FPGA ……………………………………………………………….. 5
2. LPM_COUNTER (Cunntas) IP Core………………………………………………………………………………………….. 7 2.1. Feartan …………………………………………………………………………………………… 7 2.2. Prototype Verilog HDL……………………………………………………………………………….. 8 2.3. Dearbhadh Co-phàirt VHDL………………………………………………………………………………………….8 2.4. LEABHARLANN VHDL_USE Dearbhadh ……………………………………………………………………………………… 9 2.5. Puirt………………………………………………………………………………………..9 2.6. Paramadairean ……………………………………………………………………………………… 10
3. LPM_DIVIDE (Roinneadair) Intel FPGA IP Core………………………………………………………….. 12 3.1. Feartan …………………………………………………………………………………………. 12 3.2. Prototype Verilog HDL……………………………………………………………………………… 12 3.3. Dearbhadh Co-phàirt VHDL…………………………………………………………………………………………….. 13 3.4. LEABHARLANN VHDL_USE Dearbhadh …………………………………………………………………. 13 3.5. Puirt……………………………………………………………………………………… 13 3.6. Paramadairean ……………………………………………………………………………………… 14
4. LPM_MULT (Ioma-fhillte) IP Core…………………………………………………………………………. 16 4.1. Feartan …………………………………………………………………………………………. 16 4.2. Prototype Verilog HDL………………………………………………………………………… 17 4.3. Dearbhadh Co-phàirt VHDL…………………………………………………………………………………………….. 17 4.4. LEABHARLANN VHDL_USE Dearbhadh …………………………………………………………………. 17 4.5. Comharran ……………………………………………………………………………………… 18 4.6. Paramadairean airson Stratix V, Arria V, Cyclone V, agus Innealan Intel Cyclone 10 LP …………… 18 4.6.1. Tab Coitcheann ……………………………………………………………………………………… 18 4.6.2. Coitcheann 2 Tab ………………………………………………………………………… 19 4.6.3. Tab Pìobaireachd ………………………………………………………………………… 19 4.7. Paramadairean airson Intel Stratix 10, Intel Arria 10, agus Intel Cyclone 10 Innealan GX……….. 20 4.7.1. Tab Coitcheann ……………………………………………………………………………………… 20 4.7.2. Coitcheann 2 Tab ………………………………………………………………………… 20 4.7.3. Pìobaireachd ……………………………………………………………………………………… 21
5. LPM_ADD_SUB (Nathair/Subtractor)……………………………………………………………… 22 5.1. Feartan …………………………………………………………………………………………. 22 5.2. Prototype Verilog HDL………………………………………………………………………… 23 5.3. Dearbhadh Co-phàirt VHDL…………………………………………………………………………………………….. 23 5.4. LEABHARLANN VHDL_USE Dearbhadh …………………………………………………………………. 23 5.5. Puirt……………………………………………………………………………………… 23 5.6. Paramadairean ……………………………………………………………………………………… 24
6. LPM_COMPARE (Coimeasach) ………………………………………………………………………… 26 6.1. Feartan …………………………………………………………………………………………. 26 6.2. Prototype Verilog HDL………………………………………………………………………… 27 6.3. Dearbhadh Co-phàirt VHDL…………………………………………………………………………………………….. 27 6.4. LEABHARLANN VHDL_USE Dearbhadh …………………………………………………………………. 27 6.5. Puirt……………………………………………………………………………………… 27 6.6. Paramadairean ……………………………………………………………………………………… 28
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 2
Cuir fios air ais
Clàr-innse
7. ALTECC (Còd Ceartachaidh Mearachd: Encoder/Decoder) IP Core…………………………………… 30
7.1. Feartan còdaidh ALTECC…………………………………………………………………………..31 7.2. Prototype Verilog HDL (ALTECC_ENCODER) ………………………………………………………. 32 7.3. Prototype Verilog HDL (ALTECC_DECODER) ……………………………………………………. 32 7.4. Dearbhadh Co-phàirt VHDL (ALTECC_ENCODER)…………………………………………………………………………… 33 7.5. Dearbhadh Co-phàirt VHDL (ALTECC_DECODER)…………………………………………………………………………… 33 7.6. LEABHARLANN VHDL_USE Dearbhadh …………………………………………………………………. 33 7.7. Puirt còdaidh ……………………………………………………………………………………… 33 7.8. Puirt dì-chòdaidh ……………………………………………………………………………………… 34 7.9. Paramadairean còdaidh ……………………………………………………………………………… 34 7.10. Paramadairean dì-chòdaidh ………………………………………………………………………… 35
8. Intel FPGA Multiply Adder IP Core………………………………………………………. 36
8.1. Feartan …………………………………………………………………………………………. 37 8.1.1. Ro-nathair ……………………………………………………………………………….. 38 8.1.2. Clàr Moill Systolic………………………………………………………………….. 40 8.1.3. Constant ro-luchdachadh………………………………………………………………………… 43 8.1.4. Neach-cruinneachaidh dùbailte ……………………………………………………………………………………… 43
8.2. Prototype Verilog HDL………………………………………………………………………… 44 8.3. Dearbhadh Co-phàirt VHDL…………………………………………………………………….. 44 8.4. LEABHARLANN VHDL_USE Dearbhadh …………………………………………………………………. 44 8.5. Comharran ……………………………………………………………………………………… 44 8.6. Paramadairean ……………………………………………………………………………………… 47
8.6.1. Tab Coitcheann ……………………………………………………………………………………… 47 8.6.2. Tab modhan a bharrachd………………………………………………………………………….. 47 8.6.3. Tab luchd-iomadachaidh ………………………………………………………………………….. 49 8.6.4. Tab Preadder………………………………………………………………………………. 51 8.6.5. Tab an Neach-cruinneachaidh………………………………………………………………………….. 53 8.6.6. Tab Systolic/Chainout ………………………………………………………………………………………. 55 8.6.7. Clàr pìobaireachd ………………………………………………………………………… 56
9. ALTEMEMMULT (Ioma-fhillteadair Co-èifeachd seasmhach stèidhichte air cuimhne) IP Core…………………… 57
9.1. Feartan …………………………………………………………………………………………. 57 9.2. Prototype Verilog HDL………………………………………………………………………… 58 9.3. Dearbhadh Co-phàirt VHDL…………………………………………………………………………………………….. 58 9.4. Puirt……………………………………………………………………………………… 59 9.5. Paramadairean ……………………………………………………………………………………… 59
10. ALTMULT_ACCUM (Ioma-cruinne) IP Core…………………………………………………………………………… 61
10.1. Feartan…………………………………………………………………………………………….. 62 10.2. Prototype Verilog HDL……………………………………………………………………………..62 10.3. Dearbhadh Co-phàirt VHDL……………………………………………………………………………………… 63 10.4. LEABHARLANN VHDL_USE Dearbhadh ………………………………………………………………………………………… 63. Puirt……………………………………………………………………………………………. 10.5 63. Paramadairean ………………………………………………………………………………………. 10.6
11. ALTMULT_ADD (Ioma-nathair) IP Core…………………………………………………………..69
11.1. Feartan…………………………………………………………………………………………….. 71 11.2. Prototype Verilog HDL……………………………………………………………………………..72 11.3. Dearbhadh Co-phàirt VHDL……………………………………………………………………………………… 72 11.4. LEABHARLANN VHDL_USE Dearbhadh ……………………………………………………………………………………… 72
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 3
Clàr-innse
11.5. Puirt……………………………………………………………………………………………. 72 11.6. Paramadairean ………………………………………………………………………………………. 73
12. ALTMULT_COMPLEX (Ioma-fhillteadair iom-fhillte) IP Core………………………………………………………………………… 86 12.1. Iomadachadh iom-fhillte …………………………………………………………………………. 86 12.2. Riochdachadh Canonical………………………………………………………………………… 87 12.3. Riochdachadh Gnàthach ………………………………………………………………………………………. 87 12.4. Feartan…………………………………………………………………………………………….. 88 12.5. Prototype Verilog HDL……………………………………………………………………………..88 12.6. Dearbhadh Co-phàirt VHDL……………………………………………………………………………………… 89 12.7. LEABHARLANN VHDL_USE Dearbhadh ………………………………………………………………………………………… 89. Comharran ……………………………………………………………………………………………. 12.8 89. Paramadairean ………………………………………………………………………………………. 12.9
13. ALTSQRT (Integer Square Root) IP Core……………………………………………………………………………………… 92 13.1. Feartan…………………………………………………………………………………………….. 92 13.2. Prototype Verilog HDL……………………………………………………………………………..92 13.3. Dearbhadh Co-phàirt VHDL……………………………………………………………………………………… 93 13.4. LEABHARLANN VHDL_USE Dearbhadh ………………………………………………………………………………………… 93. Puirt……………………………………………………………………………………………. 13.5 93. Paramadairean ………………………………………………………………………………………. 13.6
14. PARALLEL_ADD (Nathair Co-shìnte) IP Core………………………………………………………….. 95 14.1. Feart ……………………………………………………………………………………….95 14.2. Prototype Verilog HDL……………………………………………………………………………..95 14.3. Dearbhadh Co-phàirt VHDL……………………………………………………………………………………… 96 14.4. LEABHARLANN VHDL_USE Dearbhadh ………………………………………………………………………………………… 96. Puirt……………………………………………………………………………………………. 14.5 96. Paramadairean ………………………………………………………………………………………. 14.6
15. Integer Àireamhachd IP Cores Tasglann Sgrìobhainnean Stiùireadh Luchd-cleachdaidh………………………………… 98
16. Eachdraidh ath-sgrùdadh sgrìobhainnean airson Intel FPGA Integer Arithmetic IP Cores Stiùireadh Cleachdaiche…. 99
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 4
Cuir fios air ais
683490 | 2020.10.05 Cuir fios air ais
1. Intel FPGA Integer Arithmetic IP Cores
Faodaidh tu na coraichean IP iomlan Intel® FPGA a chleachdadh gus gnìomhachd matamataigeach a dhèanamh nad dhealbhadh.
Bidh na gnìomhan sin a’ tabhann synthesis loidsig nas èifeachdaiche agus buileachadh innealan na bhith a’ còdadh na gnìomhan agad fhèin. Faodaidh tu na coraichean IP a ghnàthachadh gus coinneachadh ri na riatanasan dealbhaidh agad.
Tha coraichean IP àireamhachd iomlan Intel air an roinn anns an dà roinn a leanas: · Leabharlann de mhodalan parameterized (LPM) coraichean IP · Coraichean IP Intel-sònraichte (ALT)
Tha an clàr a leanas a’ liostadh na coraichean IP àireamhachd iomlan.
Clàr 1 .
Liosta de na IP Cores
Cores IP
Draibhearan airson IP LPM
LPM_COUNTER
LPM_DIVIDE
LPM_MULT
LPM_ADD_SUB
LPM_COMPARE
Coraichean IP Intel-sònraichte (ALT) ALTECC
Dreuchd thallview Iomadaiche Counter Divider
Adder or toirt air falbh Coimeasair
ECC Encoder / Decoder
Inneal le taic
Arria® II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone® IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP,
Intel Cyclone 10 GX, MAX® II, MAX V, MAX 10, Stratix® IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
Cyclone V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
Cyclone V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Cyclone IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP, MAX 10, MAX
II, MAX V, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Cyclone IV E, Cyclone IV GX, Cyclone V, Intel Cyclone 10 LP, MAX 10, MAX
II, MAX V, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
Cyclone V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, Stratix IV, Stratix V a’ leantainn…
Intel Corporation. Còraichean uile glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh na còrach atharrachaidhean a dhèanamh air toraidhean agus seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.
ISO 9001: 2015 clàraichte
1. Intel FPGA Àireamhachd Integer IP Cores 683490 | 2020.10.05
IP Cores Intel FPGA Multiply Adder no ALTERA_MULT_ADD ALTEMEMMULT
ALTMULT_ACCUM ALTMULT_ADD ALTMULT_COMPLEX
ALTSQRT
PARALLEL_ADD
Dreuchd thallview Iomadaiche-Adder
Iomadaiche seasmhach co-èifeachd stèidhichte air cuimhne
Iomadaiche-Accumulator Multiplier-Adder
Iomadaiche iom-fhillte
Ceàrnag Integer-Root
Nathair-nimhe
Inneal le taic
Arria V, Stratix V, Cyclone V, Intel Stratix 10, Intel Arria 10, Intel Cyclone
10 GX
Arria II GX, Arria II GZ, Arria V, Intel Arria 10 (Intel Quartus® Prime Standard Edition), Cyclone IV E, Cyclone IV GX, Cyclone V, Intel
Cyclone 10 LP, MAX II, MAX V, MAX 10, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Cyclone IV E, Cyclone IV GX, Intel Cyclone 10 LP, MAX 10, MAX II, MAX V, Stratix IV
Arria II GX, Arria II GZ, Cyclone IV E, Cyclone IV GX, Intel Cyclone 10 LP, MAX 10, MAX II, MAX V, Stratix IV
Arria II GX, Arria II GZ, Intel Arria 10, Arria V, Arria V GZ, Cyclone IV E, Cyclone IV GX, Cyclone V, Intel
Cyclone 10 GX, Intel Cyclone 10 LP, MAX 10, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
Cyclone V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, Cyclone IV GX,
Cyclone V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, Stratix IV, Stratix V
Fiosrachadh Co-cheangailte
· Notaichean fuasglaidh Intel FPGAn agus Innealan Prògramaichte
· Ro-ràdh do Intel FPGA IP Cores A’ toirt seachad barrachd fiosrachaidh mu Intel FPGA IP Cores.
· Iùl Cleachdaiche Floating-Point IP Cores A’ toirt seachad barrachd fiosrachaidh mu choraichean IP Floating-Point IP Intel FPGA.
· Ro-ràdh do Intel FPGA IP Cores A’ toirt seachad fiosrachadh coitcheann mu dheidhinn a h-uile cores IP Intel FPGA, a ’toirt a-steach parameterizing, gineadh, ùrachadh, agus atharrais IP cores.
· A’ cruthachadh sgriobtaichean samhlachaidh IP neo-eisimeileach agus Qsys Cruthaich sgriobtaichean atharrais nach eil feumach air ùrachadh làimhe airson ùrachadh bathar-bog no tionndadh IP.
· Stiùireadh Cleachdaidhean as Fheàrr Riaghladh Pròiseict airson riaghladh èifeachdach agus so-ghiùlain do phròiseact agus IP files.
· Integer Arithmetic IP Cores Tasglann Sgrìobhainn Stiùireadh Cleachdaiche air duilleag 98 A’ toirt seachad liosta de stiùiridhean luchd-cleachdaidh airson dreachan roimhe de na coraichean IP Integer Arithmetic.
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 6
Cuir fios air ais
683490 | 2020.10.05 Cuir fios air ais
2. LPM_COUNTER (Counter) IP Core
Figear 1.
Tha cridhe LPM_COUNTER IP na chunntair binary a chruthaicheas cunntairean suas, sìos chunntair agus cunntairean suas no sìos le toraidhean suas ri 256 pìosan de leud.
Tha an dealbh a leanas a' sealltainn na puirt airson cridhe LPM_COUNTER IP.
LPM_COUNTER puirt
LPM_COUNTER
ssclr sload dàta sset[]
q[]
ùrachadh
cuut
aclr luchdachadh maoin
clk_en cnt_en cin
inst
2.1. Feartan
Tha cridhe LPM_COUNTER IP a’ tabhann na feartan a leanas: · A’ gineadh cunntairean suas, sìos is suas/sìos · A’ gineadh na seòrsaichean cunntais a leanas:
- Binary sìmplidh - àrdachaidhean a’ chunntair a’ tòiseachadh bho neoni no lughdachadh a’ tòiseachadh bho 255
- Modulus - bidh an cuntair ag àrdachadh no a’ lughdachadh bhon luach modulus a shònraich an neach-cleachdaidh agus ag ath-aithris
· A’ toirt taic do phuirt ion-roghnach soilleir sioncronaich, luchdaichte agus suidhichte · A’ toirt taic do phuirt a-steach roghainneil a tha soilleir, luchdaichte, agus cuir a-steach · A’ toirt taic do chomas cunntais roghainneil agus gleoc a’ comasachadh puirt cuir a-steach · A’ toirt taic do phuirt giùlain is giùlain roghainneil
Intel Corporation. Còraichean uile glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh na còrach atharrachaidhean a dhèanamh air toraidhean agus seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.
ISO 9001: 2015 clàraichte
2. LPM_COUNTER (Counter) IP Core
683490 | 2020.10.05 XNUMX
2.2. Prototype Verilog HDL
Tha am prototype Verilog HDL a leanas suidhichte anns an Verilog Design File (.v) lpm.v anns an edasynthesis eòlaire.
modal lpm_counter (q, dàta, cloc, cin, cout, clk_en, cnt_en, suas sìos, aset, aclr, luchdachadh, sset, sclr, sload, eq ); paramadair lpm_type = "lpm_counter"; paramadair lpm_width = 1; paramadair lpm_modulus = 0; paramadair lpm_direction = “UNUSED”; paramadair lpm_avalue = “UNUSED”; paramadair lpm_svalue = “UNUSED”; paramadair lpm_pvalue = “UNUSED”; paramadair lpm_port_updown = “PORT_CONNECTIVITY”; paramadair lpm_hint = “UNUSED”; toradh [lpm_width-1: 0] q; toradh cout; toradh [15:0] eq; cin a-steach; cuir a-steach [lpm_width-1:0] dàta; cloc cuir a-steach, clk_en, cnt_en, suas sìos; cuir a-steach maoin, aclr, luchdachadh; cuir a-steach sset, sclr, sload; modal deireannach
2.3. Dearbhadh Co-phàirt VHDL
Tha dearbhadh co-phàirt VHDL suidhichte ann an Dealbhadh VHDL File (.vhd) LPM_PACK.vhd anns an Leabharlann vhdllpm eòlaire.
co-phàirt LPM_COUNTER coitcheann ( LPM_WIDTH : nàdarra; LPM_MODULUS : nàdarra := 0; LPM_DIRECTION : string := "UNUSED"; LPM_AVALUE : string := "UNUSED"; LPM_SVALUE : string := "UNUSED"; LPM_PORT_CONPORT: string ; LPM_PVALUE : string := "UNUSED"; port (DÀTA : ann an std_logic_vector(LPM_WIDTH-1 sìos gu 0):= (OTHERS =>
'0'); CLOC : ann an std_logic ; CLK_EN : ann an std_logic := '1'; CNT_EN : ann an std_logic := '1'; UPDOWN : ann an std_logic := '1'; SLOAD : in std_logic := '0'; SSET : ann an std_logic := '0'; SCLR : ann an std_logic := '0'; ALOAD : in std_logic := '0'; ASET : ann an std_logic := '0'; ACLR : ann an std_logic := '0'; CIN : ann an std_logic := '1'; COUT : a-mach std_logic := '0'; Q : a-mach std_logic_vector (LPM_WIDTH-1 sìos gu 0); EQ: a-mach std_logic_vector (15 sìos gu 0));
pàirt crìochnachaidh;
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 8
Cuir fios air ais
2. LPM_COUNTER (Cunntas) IP Core 683490 | 2020.10.05
2.4. VHDL LIBRARY_USE Dearbhadh
Chan eil feum air an dearbhadh VHDL LIBRARY-USE ma chleachdas tu Dearbhadh Co-phàirteach VHDL.
LEABHARLANN lpm; CLEACHDADH lpm.lpm_components.all;
2.5. Puirt
Tha na clàran a leanas a’ liostadh nam puirt cuir a-steach is toraidh airson cridhe LPM_COUNTER IP.
Clàr 2 .
LPM_COUNTER Puirt a-steach
Ainm Port
A dhìth
Tuairisgeul
dàta[]
Chan eil
Cuir a-steach dàta co-shìnte ris a’ chunntair. Tha meud a’ phuirt a-steach an urra ri luach paramadair LPM_WIDTH.
uaireadair
Tha
Cuir a-steach gleoc adhartach-iomall.
clk_ga
Chan eil
Bidh gleoc a’ comasachadh cuir a-steach gus a h-uile gnìomh sioncronaich a chomasachadh. Ma thèid fhàgail air falbh, is e 1 an luach bunaiteach.
cnt_ga
Chan eil
Cunnt comas a thoirt a-steach gus an cunntadh a dhì-cheadachadh nuair a thèid a ràdh gu bheil e ìosal gun a bhith a’ toirt buaidh air sload, sset, no sclr. Ma thèid fhàgail air falbh, is e 1 an luach bunaiteach.
ùrachadh
Chan eil
A 'cumail smachd air stiùireadh a' chunntais. Nuair a thèid a ràdh àrd (1), tha an stiùireadh cunntais suas, agus nuair a thèid a ràdh ìosal (0), tha an stiùireadh cunntais sìos. Ma thèid am paramadair LPM_DIRECTION a chleachdadh, cha ghabh am port suas sìos a cheangal. Mura tèid LPM_DIRECTION a chleachdadh, tha am port suas sìos roghainneil. Ma thèid fhàgail air falbh, tha an luach bunaiteach suas (1).
cin
Chan eil
Gabh a-steach don ìre ìosal. Airson cunntairean suas, tha giùlan an cuir a-steach cin
co-ionann ri giùlan an in-chur cnt_en. Ma thèid fhàgail air falbh, is e 1 an luach bunaiteach
(VCC).
aclr
Chan eil
Cuir a-steach soilleir asyncronach. Ma tha an dà chuid so-mhaoin agus aclr air an cleachdadh agus air an cur an cèill, tha aclr a 'dol thairis air so-mhaoin. Ma thèid fhàgail air falbh, is e 0 (ciorramach) an luach bunaiteach.
so-mhaoin
Chan eil
Cuir a-steach seata asyncronach. Sònraichidh seo na toraidhean q[] mar a h-uile 1s, no ris an luach a shònraich am paramadair LPM_AVALUE. Ma thèid an dà chuid na puirt so-mhaoin agus aclr a chleachdadh agus a dhearbhadh, tha luach a’ phuirt aclr a’ dol thairis air luach a’ phuirt so-mhaoin. Ma dh’ fhàgar a-mach e, is e 0 an luach bunaiteach, ciorramach.
luchdachadh
Chan eil
Cuir a-steach luchdan asyncronach a bhios gu neo-sheasmhach a’ luchdachadh a’ chunntair leis an luach air an cuir a-steach dàta. Nuair a thèid am port luchdachadh a chleachdadh, feumaidh am port dàta[] a bhith ceangailte. Ma dh’ fhàgar a-mach e, is e 0 an luach bunaiteach, ciorramach.
sclr
Chan eil
Cuir a-steach soilleir sioncronaich a ghlanas a’ chunntair air an ath oir gleoc gnìomhach. Ma thèid an dà chuid na puirt sset agus sclr a chleachdadh agus a dhearbhadh, tha luach a’ phuirt sclr a’ dol thairis air luach a’ phuirt sset. Ma dh’ fhàgar a-mach e, is e 0 an luach bunaiteach, ciorramach.
sset
Chan eil
Cuir a-steach seata sioncronaich a chuireas a’ chunntair air an ath oir gleoc gnìomhach. Sònraichidh seo luach nan toraidhean q mar a h-uile 1s, no ris an luach a shònraich am paramadair LPM_SVALUE. Ma thèid an dà chuid na puirt sset agus sclr a chleachdadh agus a ràdh,
tha luach a' phuirt sclr a' dol thairis air luach a' phuirt sset. Ma thèid fhàgail air falbh, is e 0 (ciorramach) an luach bunaiteach.
slaod
Chan eil
Cuir a-steach luchd sioncronaich a bhios a’ luchdachadh a’ chunntair le dàta[] air an ath oir gleoc gnìomhach. Nuair a thèid am port sload a chleachdadh, feumaidh am port dàta[] a bhith ceangailte. Ma thèid fhàgail air falbh, is e 0 (ciorramach) an luach bunaiteach.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 9
2. LPM_COUNTER (Cunntas) IP Core 683490 | 2020.10.05
Clàr 3 .
LPM_COUNTER Puirt toraidh
Ainm Port
A dhìth
Tuairisgeul
q[]
Chan eil
Toradh dàta bhon chunntair. Tha meud a’ phuirt toraidh an urra ris an
LPM_WIDTH luach paramadair. An dàrna cuid q[] no co-dhiù aon de na puirt eq[15..0]
feumar a bhith ceangailte.
eq[15..0]
Chan eil
Toradh dì-chòdachadh cuntair. Chan eil am port eq[15..0] ruigsinneach anns an deasaiche paramadair oir chan eil am paramadair a’ toirt taic ach do AHDL.
Feumaidh an dàrna cuid am port q[] no am port eq[] a bhith ceangailte. Faodar suas ri c eq puirt a chleachdadh (0 <= c <= 15). Chan eil ach na 16 luachan cunntais as ìsle air an còdachadh. Nuair a tha an luach cunntais c, tha an toradh eqc air a dhearbhadh àrd (1). Airson example, nuair a tha an cunntadh 0, eq0 = 1, nuair a tha an cunntadh 1, eq1 = 1, agus nuair a tha an cunntas 15, eq 15 = 1. Feumaidh toradh dì-chòdaichte airson luachan cunntais 16 no nas àirde dì-chòdachadh bhon taobh a-muigh. Tha na toraidhean eq[15..0] co-shìnte ris an toradh q[].
cuut
Chan eil
Port giùlain pìos MSB a’ chunntair. Faodar a chleachdadh gus ceangal ri cuntair eile gus cuntair nas motha a chruthachadh.
2.6. Paramadairean
Tha an clàr a leanas a' liostadh nam paramadairean airson cridhe LPM_COUNTER IP.
Clàr 4 .
LPM_COUNTER Paramadairean
Ainm Parameter
Seòrsa
LPM_WIDTH
Slànuighear
LPM_DIRECTION
sreang
LPM_MODULUS LPM_AVALUE
Slànuighear
Slànuighear/ String
LPM_SVALUE LPM_HINT
Slànuighear/ String
sreang
LPM_TYPE
sreang
Tha feum air Tha Chan eil Chan eil
Chan eil Chan eil
Chan eil
Tuairisgeul
Sònraichidh seo leud a’ phuirt dàta[] agus q[], ma thèid an cleachdadh.
Tha luachan UP, DOWN, agus UNUSED. Ma thèid am paramadair LPM_DIRECTION a chleachdadh, cha ghabh am port suas sìos a cheangal. Nuair nach eil am port suas sìos ceangailte, is e luach bunaiteach paramadair LPM_DIRECTION UP.
An àireamh as àirde, agus aon. An àireamh de stàitean sònraichte ann an cearcall a’ chunntair. Ma tha luach an luchd nas motha na am paramadair LPM_MODULUS, chan eil giùlan a’ chunntair air a shònrachadh.
Luach seasmhach a tha air a luchdachadh nuair a tha so-mhaoin air a dhearbhadh àrd. Ma tha an luach a chaidh a shònrachadh nas motha na no co-ionann ri , tha giùlan a’ chunntair na ìre loidsig neo-mhìnichte (X), far a bheil is LPM_MODULUS, ma tha e an làthair, neo 2 ^ LPM_WIDTH. Tha Intel a’ moladh gun sònraich thu an luach seo mar àireamh deicheach airson dealbhaidhean AHDL.
Luach seasmhach a tha air a luchdachadh air oir àrdachaidh port a’ ghleoc nuair a thèid am port sset a dhearbhadh àrd. Tha Intel a’ moladh gun sònraich thu an luach seo mar àireamh deicheach airson dealbhaidhean AHDL.
Nuair a chuireas tu gnìomh leabharlann de mhodalan parameterized (LPM) ann an Dealbhadh VHDL File (.vhd), feumaidh tu am paramadair LPM_HINT a chleachdadh gus paramadair a tha sònraichte do Intel a shònrachadh. Airson example: LPM_HINT = “CHAIN_SIZE = 8, ONE_INPUT_IS_CONSTANT = THA”
Tha an luach bunaiteach UNUSED.
A’ comharrachadh ainm eintiteas leabharlann modalan parameterized (LPM) ann an dealbhadh VHDL files.
a’ leantainn…
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 10
Cuir fios air ais
2. LPM_COUNTER (Cunntas) IP Core 683490 | 2020.10.05
Ainm paramadair INTENDED_DEVICE_FAMILY CARRY_CNT_EN
LABWIDE_SCLR
LPM_PORT_UPDOWN
Seòrsa String String
sreang
sreang
Chan eil feum air Chan eil
Chan eil
Chan eil
Tuairisgeul
Tha am paramadair seo air a chleachdadh airson adhbharan modaladh agus atharrais giùlain. Tha am paramadair seo air a chleachdadh airson adhbharan modaladh agus atharrais giùlain. Bidh an deasaiche paramadair a’ tomhas luach a’ pharamadair seo.
Paramadair sònraichte Intel. Feumaidh tu am paramadair LPM_HINT a chleachdadh gus am paramadair CARRY_CNT_EN a shònrachadh ann an dealbhadh VHDL files. Tha luachan SMART, ON, OFF, agus UNUSED. Cuir an gnìomh LPM_COUNTER an comharra cnt_en a ghluasad tron t-sreath giùlain. Ann an cuid de chùisean, dh’ fhaodadh suidheachadh paramadair CARRY_CNT_EN beagan buaidh a thoirt air an astar, agus mar sin ’s dòcha gum biodh tu airson a chuir dheth. Is e an luach bunaiteach SMART, a bheir seachad a’ mhalairt as fheàrr eadar meud agus astar.
Paramadair sònraichte Intel. Feumaidh tu am paramadair LPM_HINT a chleachdadh gus am paramadair LABWIDE_SCLR a shònrachadh ann an dealbhadh VHDL files. Tha luachan AIR, OFF, no UNUSED. Tha an luach bunaiteach AIR. A’ leigeil leat cleachdadh feart sclr LABwide a lorgar ann an teaghlaichean innealan seann-fhasanta a dhì-cheadachadh. Le bhith a’ tionndadh an roghainn seo tha barrachd chothroman ann a bhith a’ cleachdadh na LABn a tha air an lìonadh gu ìre, agus mar sin dh’ fhaodadh sin dùmhlachd loidsig nas àirde a cheadachadh nuair nach eil SCLR a’ buntainn ri LAB iomlan. Tha am paramadair seo ri fhaighinn airson co-fhreagarrachd air ais, agus tha Intel a’ moladh dhut gun a bhith a’ cleachdadh am paramadair seo.
A’ sònrachadh cleachdadh a’ phuirt cuir a-steach shuas. Ma dh'fhàgar a-mach 's e PORT_CONNECTIVITY an luach bunaiteach. Nuair a thèid luach a' phuirt a shuidheachadh gu PORT_USED, thèid dèiligeadh ris a' phort mar a chaidh a chleachdadh. Nuair a tha luach a’ phuirt air a shuidheachadh gu PORT_UNUSED, thèid dèiligeadh ris a’ phort mar nach deach a chleachdadh. Nuair a tha luach a’ phuirt air a shuidheachadh gu PORT_CONNECTIVITY, bidh cleachdadh a’ phuirt air a dhearbhadh le bhith a’ sgrùdadh ceangal a’ phuirt.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 11
683490 | 2020.10.05 Cuir fios air ais
3. LPM_DIVIDE (Roinneadair) Intel FPGA IP Core
Figear 2.
Bidh cridhe LPM_DIVIDE Intel FPGA IP a’ cur an gnìomh roinneadh gus luach cuir a-steach àireamhair a roinn le luach cuir a-steach ainmiche gus cuibhreann agus còrr a thoirt gu buil.
Tha am figear a leanas a’ sealltainn na puirt airson cridhe LPM_DIVIDE IP.
LPM_DIVIDE puirt
LPM_DIVIDE
àireamh[] ainm[] cloc
àireamh[] air fhàgail[]
clken aclr
inst
3.1. Feartan
Tha cridhe LPM_DIVIDE IP a’ tabhann na feartan a leanas: · A’ gineadh roinneadh a bhios a’ roinn luach cuir a-steach àireamhair le cuir a-steach ainmiche
luach gus cuibhreann agus còrr a thoirt gu buil. · A’ toirt taic do leud dàta 1 pìosan. · A’ toirt taic do chruth riochdachadh dàta soidhnichte agus gun ainm airson gach cuid an àireamhair
agus luachan ainmearan. · A’ toirt taic do leasachadh sgìre no astar. · A’ toirt seachad roghainn toradh fuigheall dearbhach a shònrachadh. · A’ toirt taic do bhith a’ dealbhadh latency toraidh a ghabhas rèiteachadh. · A’ toirt taic do phuirt roghainneil soilleir asyncronach agus gleoc.
3.2. Prototype Verilog HDL
Tha am prototype Verilog HDL a leanas suidhichte anns an Verilog Design File (.v) lpm.v anns an edasynthesis eòlaire.
modal lpm_divide (cuid, fuigheall, àireamh, ainm, cloc, clken, aclr); paramadair lpm_type = "lpm_divide"; paramadair lpm_widthn = 1; paramadair lpm_widthd = 1; paramadair lpm_nrepresentation = “UN SIGNED”; paramadair lpm_drepresentation = “UN SIGNED”; paramadair lpm_remainderpositive = “TRUE”; paramadair lpm_pipeline = 0;
Intel Corporation. Còraichean uile glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh na còrach atharrachaidhean a dhèanamh air toraidhean agus seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.
ISO 9001: 2015 clàraichte
3. LPM_DIVIDE (Divider) Intel FPGA IP Core 683490 | 2020.10.05
paramadair lpm_hint = “UNUSED”; cloc a-steach; cur a-steach clken; cuir a-steach aclr; cuir a-steach [lpm_widthn-1: 0] àireamh; cuir a-steach [lpm_widthd-1: 0] seòrsa; toradh [lpm_widthn-1: 0] cuibhreann; toradh [lpm_widthd-1:0] fuireach; modal deireannach
3.3. Dearbhadh Co-phàirt VHDL
Tha dearbhadh co-phàirt VHDL suidhichte ann an Dealbhadh VHDL File (.vhd) LPM_PACK.vhd anns an Leabharlann vhdllpm eòlaire.
co-phàirt LPM_DIVIDE coitcheann (LPM_WIDTHN : nàdarra; LPM_WIDTHD : nàdarra;
LPM_NREPRESENTATION : string := "UNSIGNED"; LPM_DREPRESENTATION : string := "UNSIGNED"; LPM_PIPELINE : nàdarrach := 0; LPM_TYPE : string := L_DIVIDE; LPM_HINT : string := “UNUSED”); port (NUMER : ann an std_logic_vector(LPM_WIDTHN-1 downto 0); DENOM : ann an std_logic_vector(LPM_WIDTHD-1 downto 0); ACLR : ann an std_logic := '0'; CLOC : ann an std_logic := '0'; CLKEN : ann an std := '1'; QUOTIENT : a-mach std_logic_vector(LPM_WIDTHN-1 sìos gu 0); pàirt crìochnachaidh;
3.4. VHDL LIBRARY_USE Dearbhadh
Chan eil feum air an dearbhadh VHDL LIBRARY-USE ma chleachdas tu Dearbhadh Co-phàirteach VHDL.
LEABHARLANN lpm; CLEACHDADH lpm.lpm_components.all;
3.5. Puirt
Tha na clàran a leanas a’ liostadh na puirt cuir a-steach is toraidh airson cridhe LPM_DIVIDE IP.
Clàr 5 .
LPM_DIVIDE Puirt a-steach
Ainm Port
A dhìth
àireamh[]
Tha
ainm[]
Tha
Tuairisgeul
Cuir a-steach dàta àireamhair. Tha meud a’ phuirt a-steach an urra ri luach paramadair LPM_WIDTHN.
Cuir a-steach dàta ainmiche. Tha meud a’ phuirt cuir a-steach an urra ri luach paramadair LPM_WIDTHD.
a’ leantainn…
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 13
3. LPM_DIVIDE (Divider) Intel FPGA IP Core 683490 | 2020.10.05
Cloc Ainm Port clken
aclr
Chan eil feum air Chan eil
Chan eil
Tuairisgeul
Cuir a-steach cloc airson cleachdadh pìoba. Airson luachan LPM_PIPELINE a bharrachd air 0 (bunaiteach), feumaidh port a’ ghleoc a bhith air a chomasachadh.
Bidh gleoc a’ comasachadh cleachdadh pìoba. Nuair a thèid am port clken a dhearbhadh àrd, bidh an obair roinneadh a ’tachairt. Nuair a tha an comharra ìosal, chan eil gnìomhachd sam bith ann. Ma thèid fhàgail air falbh, is e 1 an luach bunaiteach.
Port soilleir asyncronach air a chleachdadh aig àm sam bith gus an loidhne-phìoban ath-shuidheachadh gu gach 0 gu co-shìnte ri cuir a-steach a’ ghleoc.
Clàr 6 .
LPM_DIVIDE Puirt toraidh
Ainm Port
A dhìth
Tuairisgeul
quotient[]
Tha
Toradh dàta. Tha meud a’ phuirt toraidh an urra ris an LPM_WIDTHN
luach paramadair.
fuireach[]
Tha
Toradh dàta. Tha meud a’ phuirt toraidh an urra ris an LPM_WIDTHD
luach paramadair.
3.6. Paramadairean
Tha an clàr a leanas a’ liostadh nam paramadairean airson cridhe LPM_DIVIDE Intel FPGA IP.
Ainm Parameter
Seòrsa
A dhìth
Tuairisgeul
LPM_WIDTHN
Slànuighear
Tha
Sònraichidh seo leud an àireamh [] agus
quotient[] puirt. Tha luachan bho 1 gu 64.
LPM_WIDTHD
Slànuighear
Tha
Sònraichidh seo leud an ainm [] agus
fuireach [] puirt. Tha luachan bho 1 gu 64.
LPM_NREPRESENTATION LPM_DREPRESENTATION
String sreang
Chan eil
Riochdachadh soidhne den cuir a-steach àireamhair.
Tha luachan air an soidhnigeadh agus CHAN EIL SIN. Nuair seo
tha paramadair air a shuidheachadh gu SIGNED, an roinneadh
eadar-mhìneachadh an àireamh [] a-steach mar dhà ainm sgrìobhte
coimhlionta.
Chan eil
Riochdachadh soidhne de chuir a-steach ainmiche.
Tha luachan air an soidhnigeadh agus CHAN EIL SIN. Nuair seo
tha paramadair air a shuidheachadh gu SIGNED, an roinneadh
eadar-mhìneachadh an ainm [] a-steach mar dhà ainm sgrìobhte
coimhlionta.
LPM_TYPE
sreang
Chan eil
A 'comharrachadh leabharlann nan parameterized
ainm eintiteas modalan (LPM) ann an dealbhadh VHDL
files (.vhd).
LPM_HINT
sreang
Chan eil
Nuair a chuireas tu leabharlann de
Bidh modalan parameterized (LPM) ag obair ann an a
Dealbhadh VHDL File (.vhd), feumaidh tu an
LPM_HINT paramadair gus Intel-
paramadair sònraichte. Airson example: LPM_HINT
= “CHAIN_SIZE = 8,
ONE_INPUT_IS_CONSTANT = THA” Tha an
tha an luach bunaiteach UNUSED.
LPM_REMAINDERPOSITIVE
sreang
Chan eil
Paramadair sònraichte Intel. Feumaidh tu a 'cleachdadh an
LPM_HINT paramadair gus am paramadair a shònrachadh
LPM_REMAINDERPOSITIVE paramadair a-steach
Dealbhadh VHDL files. Tha luachan TRUE no FALSE.
Ma tha am paramadair seo air a shuidheachadh gu TRUE, an uairsin bidh an
feumaidh luach a’ phuirt a tha air fhàgail[] a bhith nas àirde
a’ leantainn…
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 14
Cuir fios air ais
3. LPM_DIVIDE (Divider) Intel FPGA IP Core 683490 | 2020.10.05
Ainm Parameter
Seòrsa
MAXIMIZE_SPEED
Slànuighear
LPM_PIPELINE
Slànuighear
INTENDED_DEVICE_FAMILY SKIP_BITS
String Integer
Chan eil feum air
Chan eil Chan eil Chan eil
Tuairisgeul
na no co-ionann ri neoni. Ma tha am paramadair seo air a shuidheachadh gu TRUE, tha luach a’ phuirt air fhàgail [] an dàrna cuid neoni, no tha an luach an aon shoidhne, dearbhach no àicheil, ri luach a’ phuirt àireamhach. Gus an sgìre a lughdachadh agus astar a leasachadh, tha Intel a’ moladh am paramadair seo a shuidheachadh gu TRUE ann an gnìomhachd far am feum an còrr a bhith deimhinneach no far a bheil an còrr neo-chudromach.
Paramadair sònraichte Intel. Feumaidh tu am paramadair LPM_HINT a chleachdadh gus am paramadair MAXIMIZE_SPEED a shònrachadh ann an dealbhadh VHDL files. Tha luachan [0..9]. Ma thèid a chleachdadh, bidh bathar-bog Intel Quartus Prime a’ feuchainn ri eisimpleir sònraichte den ghnìomh LPM_DIVIDE a bharrachadh airson astar seach gluasad, agus a’ dol thairis air suidheachadh roghainn loidsig Optimization Technique. Mura tèid MAXIMIZE_SPEED a chleachdadh, thèid luach an roghainn Teicneòlas Optimization a chleachdadh na àite. Ma tha luach MAXIMIZE_SPEED 6 no nas àirde, bidh an Compiler a’ dèanamh an fheum as fheàrr den chridhe LPM_DIVIDE IP airson astar nas luaithe le bhith a’ cleachdadh slabhraidhean giùlain; ma tha an luach 5 no nas lugha, bidh an neach-cruinneachaidh a 'cur an gnìomh an dealbhadh gun slabhraidhean giùlain.
Sònraichidh seo an àireamh de chuairtean cloc de latency co-cheangailte ris na toraidhean quotient [] agus air fhàgail []. Tha luach neoni (0) a’ nochdadh nach eil latency ann, agus gu bheil gnìomh dìreach cothlamadh air a chuir an gnìomh sa bhad. Ma thèid fhàgail air falbh, is e 0 an luach bunaiteach (gun phìob). Chan urrainn dhut luach a shònrachadh airson paramadair LPM_PIPELINE a tha nas àirde na LPM_WIDTHN.
Tha am paramadair seo air a chleachdadh airson adhbharan modaladh agus atharrais giùlain. Bidh an deasaiche paramadair a’ tomhas luach a’ pharamadair seo.
A’ ceadachadh roinneadh pìos bloigh nas èifeachdaiche gus loidsig a bharrachadh air na prìomh phìosan le bhith a’ toirt seachad an àireamh de phrìomh GND gu cridhe LPM_DIVIDE IP. Sònraich an àireamh de phrìomh GND air an toradh quotient chun pharameter seo.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 15
683490 | 2020.10.05 Cuir fios air ais
4. LPM_MULT (Ioma-fhillte) IP Core
Figear 3.
Bidh cridhe LPM_MULT IP a’ cur an gnìomh iomadachaidh gus dà luach dàta cuir a-steach iomadachadh gus toradh a thoirt gu buil mar thoradh.
Tha am figear a leanas a’ sealltainn na puirt airson cridhe LPM_MULT IP.
LPM_Ioma puirt
Dàta cloc LPM_MULT[] toradh[] datab[] aclr/sclr clken
inst
Feartan Fiosrachaidh Co-cheangailte air duilleag 71
4.1. Feartan
Tha cridhe LPM_MULT IP a’ tabhann na feartan a leanas: · A’ gineadh iomadachaidh a dh’iomadaicheas dà luach dàta cuir a-steach · A’ toirt taic do leud dàta de 1 pìosan · A’ toirt taic do chruth riochdachadh dàta soidhnichte agus gun ainm · A’ toirt taic do raon no optimization astar · A’ toirt taic do phìob-loidhne le latency toraidh a ghabhas rèiteachadh · A’ toirt seachad roghainn airson a bhuileachadh ann an giullachd chomharran didseatach sònraichte (DSP)
cuir casg air cuairteachadh no eileamaidean loidsig (LEs) Nòta: Nuair a bhios tu a’ togail iomadachaidh nas motha na am meud le taic dhùthchasach faodaidh /
bidh buaidh dèanadais mar thoradh air casgadh nam blocaichean DSP. · A’ toirt taic do shoilleir asyncronach roghainneil agus gleoc a’ comasachadh puirt cuir a-steach · A’ toirt taic do shoilleir sioncronaich roghainneil airson innealan Intel Stratix 10, Intel Arria 10 agus Intel Cyclone 10 GX
Intel Corporation. Còraichean uile glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh na còrach atharrachaidhean a dhèanamh air toraidhean agus seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.
ISO 9001: 2015 clàraichte
4. LPM_MULT (Ioma-fhillte) IP Core 683490 | 2020.10.05
4.2. Prototype Verilog HDL
Tha am prototype Verilog HDL a leanas suidhichte anns an Verilog Design File (.v) lpm.v anns an edasynthesis eòlaire.
modal lpm_mult (toradh, dataa, datab, suim, gleoc, clken, aclr ) paramadair lpm_type = “lpm_mult”; paramadair lpm_widtha = 1; paramadair lpm_widthb = 1; paramadair lpm_widths = 1; paramadair lpm_widthp = 1; paramadair lpm_representation = “UN SIGNED”; paramadair lpm_pipeline = 0; paramadair lpm_hint = “UNUSED”; cloc a-steach; cur a-steach clken; cuir a-steach aclr; cuir a-steach [lpm_widtha-1: 0] dàta; cuir a-steach [lpm_widthb-1: 0] datab; cuir a-steach [lpm_widths-1: 0] suim; toradh [lpm_widthp-1:0] toradh; modal deireannach
4.3. Dearbhadh Co-phàirt VHDL
Tha dearbhadh co-phàirt VHDL suidhichte ann an Dealbhadh VHDL File (.vhd) LPM_PACK.vhd anns an Leabharlann vhdllpm eòlaire.
co-phàirt LPM_MULT coitcheann ( LPM_WIDTHA : nàdarrach; LPM_WIDTHB : nàdarrach; LPM_WIDTHS : nàdarra := 1; LPM_WIDTHP : nàdarra;
LPM_REPRESENTATION : string := "UNSIGNED"; LPM_PIPELINE : nàdarrach := 0; LPM_TYPE : sreang := L_MULT ; LPM_HINT : string := “UNUSED”); port ( DATAA : ann an std_logic_vector(LPM_WIDTHA-1 downto 0); DATAB : ann an std_logic_vector(LPM_WIDTHB-1 downto 0); ACLR : ann an std_logic := '0'; CLOC : ann an std_logic := '0'; CLKEN : ann an std := '1'; SUM : ann an std_logic_vector(LPM_WIDTHS-1 downto 0) := (OTHERS => '0'); pàirt crìochnachaidh;
4.4. VHDL LIBRARY_USE Dearbhadh
Chan eil feum air an dearbhadh VHDL LIBRARY-USE ma chleachdas tu Dearbhadh Co-phàirteach VHDL.
LEABHARLANN lpm; CLEACHDADH lpm.lpm_components.all;
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 17
4. LPM_MULT (Ioma-fhillte) IP Core 683490 | 2020.10.05
4.5. Comharran
Clàr 7 .
Comharran cuir a-steach LPM_MULT
Ainm comharraidh
A dhìth
Tuairisgeul
dàta[]
Tha
Cuir a-steach dàta.
Airson innealan Intel Stratix 10, Intel Arria 10, agus Intel Cyclone 10 GX, tha meud a ’chomharra cuir a-steach an urra ri luach paramadair leud Dataa.
Airson innealan nas sine agus Intel Cyclone 10 LP, tha meud a’ chomharra cuir a-steach an urra ri luach paramadair LPM_WIDTHA.
dàta[]
Tha
Cuir a-steach dàta.
Airson innealan Intel Stratix 10, Intel Arria 10, agus Intel Cyclone 10 GX, tha meud a ’chomharra cuir a-steach an urra ri luach paramadair leud Datab.
Airson innealan nas sine agus Intel Cyclone 10 LP, tha meud a’ chomharra cuir a-steach an urra
air luach paramadair LPM_WIDTHB.
uaireadair
Chan eil
Cuir a-steach cloc airson cleachdadh pìoba.
Airson innealan nas sine agus Intel Cyclone 10 LP, feumaidh an comharra cloc a bhith air a chomasachadh airson luachan LPM_PIPELINE a bharrachd air 0 (bunaiteach).
Airson innealan Intel Stratix 10, Intel Arria 10, agus Intel Cyclone 10 GX, feumaidh an comharra cloc a bhith air a chomasachadh ma tha luach Latency seach 1 (bunaiteach).
clken
Chan eil
Cumasaich cloc airson cleachdadh pìoba. Nuair a tha an comharra clken air a dhearbhadh àrd, bidh an
bidh obair neach-cuiridh / toirt air falbh a’ gabhail àite. Nuair a tha an comharra ìosal, gun obrachadh
a' tachairt. Ma thèid fhàgail air falbh, is e 1 an luach bunaiteach.
aclr sclr
Chan eil
Comharra soilleir asyncronach air a chleachdadh aig àm sam bith gus an loidhne-phìoban ath-shuidheachadh gu na 0an gu lèir,
asyncronach ri comharra a’ ghleoc. Bidh an loidhne-phìoban a’ tòiseachadh gu neo-mhìnichte (X)
ìre loidsig. Tha na toraidhean nan luach cunbhalach, ach neo-neoni.
Chan eil
Comharra soilleir sioncronaich air a chleachdadh aig àm sam bith gus an loidhne-phìoban ath-shuidheachadh gu gach 0n,
sioncronaich ri comharra a’ ghleoc. Bidh an loidhne-phìoban a’ tòiseachadh gu neo-mhìnichte (X)
ìre loidsig. Tha na toraidhean nan luach cunbhalach, ach neo-neoni.
Clàr 8 .
Comharran toraidh LPM_MULT
Ainm chomharran
A dhìth
Tuairisgeul
toradh[]
Tha
Toradh dàta.
Airson innealan nas sine agus Intel Cyclone 10 LP, tha meud a ’chomharra toraidh an urra ri luach paramadair LPM_WIDTHP. Ma tha LPM_WIDTHP < max (LPM_WIDTHA + LPM_WIDTHB, LPM_WIDTHS) neo (LPM_WIDTHA + LPM_WIDTHS), chan eil ach na LPM_WIDTHP MSB an làthair.
Airson Intel Stratix 10, Intel Arria 10 agus Intel Cyclone 10 GX, tha meud nan comharran toraidh an urra ri paramadair leud an toraidh.
4.6. Paramadairean airson innealan Stratix V, Arria V, Cyclone V, agus Intel Cyclone 10 LP
4.6.1. Tab coitcheann
Clàr 9 .
Tab coitcheann
Paramadair
Luach
Rèiteachadh iomadachaidh
Iomadaich cuir a-steach ‘dataa’ le cuir a-steach ‘datab’
Luach bunaiteach
Tuairisgeul
Iomadaich cuir a-steach ‘dataa’ le cuir a-steach ‘datab’
Tagh an rèiteachadh a tha thu ag iarraidh airson an iomadachaidh.
a’ leantainn…
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 18
Cuir fios air ais
4. LPM_MULT (Ioma-fhillte) IP Core 683490 | 2020.10.05
Paramadair
Dè cho farsaing 'sa bu chòir an cur a-steach 'dataa' a bhith? Dè cho farsaing 'sa bu chòir an in-chur 'datab' a bhith? Ciamar a bu chòir leud an toraidh 'toraidh' a dhearbhadh? Cuir casg air an leud
Luach
Iomadaich cuir a-steach ‘dataa’ leis fhèin (obrachadh ceàrnagach)
1-256 pìosan
Luach bunaiteach
Tuairisgeul
8 bit
Sònraich leud a’ phuirt dataa[].
1-256 pìosan
8 bit
Sònraich leud a’ phuirt datab[].
Obraich a-mach an leud gu fèin-ghluasadach Cuir casg air an leud
1-512 pìosan
Gu fèin-obrachail y obraich a-mach an leud
Tagh an dòigh a tha thu ag iarraidh gus leud a’ phuirt toraidh[] a dhearbhadh.
16 bit
Sònraich leud port an toraidh[].
Cha bhi an luach seo èifeachdach ach ma thaghas tu Cuir casg air an leud sa pharameter Seòrsa.
4.6.2. Coitcheann 2 Tab
Clàr 10. Coitcheann 2 Tab
Paramadair
Luach
Cuir a-steach dàta
A bheil luach seasmhach aig a’ bhus cuir a-steach ‘datab’?
Chan eil Tha
Seòrsa iomadachaidh
Dè an seòrsa
Gun ainm sgrìobhte
iomadachadh a tha thu ag iarraidh? Soidhne
Buileachadh
Dè an gnìomh iomadachaidh a bu chòir a chleachdadh?
Cleachd am buileachadh bunaiteach
Cleachd an cuairteachadh iomadachaidh sònraichte (Chan eil e ri fhaighinn airson a h-uile teaghlach)
Cleachd eileamaidean loidsigeach
Luach bunaiteach
Tuairisgeul
Chan eil
Tagh Yes gus luach seasmhach an
bus inntrigidh `data', ma tha gin ann.
Gun ainm sgrìobhte
Sònraich an cruth riochdachaidh airson an dà chuid cuir a-steach dataa[] agus datab[].
Cleachd an t-ion cur an gnìomh bunaiteach
Tagh an dòigh a tha thu ag iarraidh gus leud a’ phuirt toraidh[] a dhearbhadh.
4.6.3. Tab pìobaireachd
Clàr 11. Pìobaireachd Tab
Paramadair
A bheil thu airson loidhne-phìoban Àir
gnìomh?
Tha
Luach
Cruthaich 'aclr'
—
port soilleir asyncronach
Luach bunaiteach
Tuairisgeul
Chan eil
Tagh Tha gus clàr loidhne-phìoban a chomasachadh don
toradh an iomadachaidh agus sònraich na tha thu ag iarraidh
latency toraidh ann an cearcall cloc. A 'comasachadh an
clàr loidhne-phìoban a’ cur latency a bharrachd ris an
toradh.
Gun sgrùdadh
Tagh an roghainn seo gus am bi port aclr comasach air soilleir asyncronach a chleachdadh airson clàr na loidhne-phìoban.
a’ leantainn…
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 19
4. LPM_MULT (Ioma-fhillte) IP Core 683490 | 2020.10.05
Paramadair
Cruthaich cloc comasachadh 'clken'
Optimization
Dè an seòrsa optimization a tha thu ag iarraidh?
Luach -
Raon Luas bunaiteach
Luach bunaiteach
Tuairisgeul
Gun sgrùdadh
A’ sònrachadh comas gleoc àrd gnìomhach airson port gleoc clàr na loidhne-phìoban
Deònach
Sònraich an optimization a tha thu ag iarraidh airson cridhe IP.
Tagh Default gus leigeil le bathar-bog Intel Quartus Prime gus faighinn a-mach an optimization as fheàrr airson cridhe IP.
4.7. Paramadairean airson innealan Intel Stratix 10, Intel Arria 10, agus Intel Cyclone 10 GX
4.7.1. Tab coitcheann
Clàr 12. Coitcheann Tab
Paramadair
Luach
Luach bunaiteach
Tuairisgeul
Seòrsa rèiteachaidh iomadachaidh
Leud port dàta
Iomadaich cuir a-steach ‘dataa’ le cuir a-steach ‘datab’
Iomadaich cuir a-steach ‘dataa’ leis fhèin (obrachadh ceàrnagach)
Iomadaich cuir a-steach ‘dataa’ le cuir a-steach ‘datab’
Tagh an rèiteachadh a tha thu ag iarraidh airson an iomadachaidh.
Leud an dàta
1-256 pìosan
8 bit
Sònraich leud a’ phuirt dataa[].
Leud an dàta
1-256 pìosan
8 bit
Sònraich leud a’ phuirt datab[].
Ciamar a bu chòir leud an toraidh 'toraidh' a dhearbhadh?
Seòrsa
Obraich a-mach an leud gu fèin-ghluasadach
Cuir casg air an leud
Gu fèin-obrachail y obraich a-mach an leud
Tagh an dòigh a tha thu ag iarraidh gus leud a’ phuirt toraidh[] a dhearbhadh.
Luach
1-512 pìosan
16 bit
Sònraich leud port an toraidh[].
Cha bhi an luach seo èifeachdach ach ma thaghas tu Cuir casg air an leud sa pharameter Seòrsa.
Leud an toraidh
1-512 pìosan
—
A’ taisbeanadh leud èifeachdach a’ phuirt toraidh[].
4.7.2. Coitcheann 2 Tab
Clàr 13. Coitcheann 2 Tab
Paramadair
Cuir a-steach dàta
A bheil luach seasmhach aig a’ bhus cuir a-steach ‘datab’?
Chan eil Tha
Luach
Luach bunaiteach
Tuairisgeul
Chan eil
Tagh Yes gus luach seasmhach an
bus inntrigidh `data', ma tha gin ann.
a’ leantainn…
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 20
Cuir fios air ais
4. LPM_MULT (Ioma-fhillte) IP Core 683490 | 2020.10.05
Paramadair
Luach
Luach
Luach sam bith nas àirde na 0
Seòrsa iomadachaidh
Dè an seòrsa
Gun ainm sgrìobhte
iomadachadh a tha thu ag iarraidh? Soidhne
Stoidhle Buileachaidh
Dè an gnìomh iomadachaidh a bu chòir a chleachdadh?
Cleachd am buileachadh bunaiteach
Cleachd an cuairteachadh iomadachaidh sònraichte
Cleachd eileamaidean loidsigeach
Luach bunaiteach
Tuairisgeul
0
Sònraich luach seasmhach port datab[].
Gun ainm sgrìobhte
Sònraich an cruth riochdachaidh airson an dà chuid cuir a-steach dataa[] agus datab[].
Cleachd an t-ion cur an gnìomh bunaiteach
Tagh an dòigh a tha thu ag iarraidh gus leud a’ phuirt toraidh[] a dhearbhadh.
4.7.3. Pìobaireachd
Clàr 14. Pìobaireachd Tab
Paramadair
Luach
A bheil thu airson an gnìomh a phìobadh?
Pìoba
Chan eil Tha
Seòrsa comharra soilleir latency
Luach sam bith nas àirde na 0.
CHAN EIL ACLR SCLR
Cruthaich cloc 'clken'
—
cuir an comas uaireadair
Dè an seòrsa optimization a tha thu ag iarraidh?
Seòrsa
Raon Luas bunaiteach
Luach bunaiteach
Tuairisgeul
Chan eil 1 AON
—
Tagh Tha gus clàr loidhne-phìoban a chomasachadh gu toradh an iomadachaidh. Le bhith a’ comasachadh clàr na loidhne-phìoban cuiridh sin latency a bharrachd ris an toradh.
Sònraich an latency toraidh a tha thu ag iarraidh ann an cearcall cloc.
Sònraich an seòrsa ath-shuidheachadh airson a 'chlàr loidhne-phìoban. Tagh GUN mura cleachd thu clàr loidhne-phìoban sam bith. Tagh ACLR gus asyncronach soilleir a chleachdadh airson clàr na loidhne-phìoban. Cruthaichidh seo port ACLR. Tagh SCLR gus sioncronaich soilleir a chleachdadh airson clàr na loidhne-phìoban. Cruthaichidh seo port SCLR.
A’ sònrachadh comas gleoc àrd gnìomhach airson port gleoc clàr na loidhne-phìoban
Deònach
Sònraich an optimization a tha thu ag iarraidh airson cridhe IP.
Tagh Default gus leigeil le bathar-bog Intel Quartus Prime an optiomization as fheàrr a dhearbhadh airson cridhe IP.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 21
683490 | 2020.10.05 Cuir fios air ais
5. LPM_ADD_SUB (Nathair/Subtractor)
Figear 4.
Leigidh cridhe LPM_ADD_SUB IP leat cuir-ris no toirt air falbh gus seataichean dàta a chur ris no a thoirt air falbh gus toradh a thoirt gu buil anns a bheil suim no eadar-dhealachadh nan luachan cuir a-steach.
Tha am figear a leanas a’ sealltainn na puirt airson cridhe LPM_ADD_SUB IP.
LPM_ADD_SUB puirt
LPM_ADD_SUB add_sub cin
dàta[]
cloc clken datab[] aclr
toradh[] overflow cout
inst
5.1. Feartan
Tha cridhe LPM_ADD_SUB IP a’ tabhann na feartan a leanas: · A’ gineadh nathair, toirt-air-falbh, agus cuir-ris / toirt air falbh a ghabhas rèiteachadh gu dinamach
gnìomhan. · A’ toirt taic do leud dàta 1 pìosan. · A’ toirt taic do chruth riochdachadh dàta leithid soidhnigeadh agus gun ainm. · A’ toirt taic do ghiùlan a-steach roghainneil (iasad a-mach), soilleir asyncronach, agus comas gleoc
puirt a-steach. · A’ toirt taic do ghiùlan roghainneil (iasad a-steach) agus puirt toraidh thar-shruth. · Sònraich aon seach aon de na busaichean dàta a-steach gu seasmhach. · A’ toirt taic do phìoban le latency toraidh a ghabhas rèiteachadh.
Intel Corporation. Còraichean uile glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh na còrach atharrachaidhean a dhèanamh air toraidhean agus seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.
ISO 9001: 2015 clàraichte
5. LPM_ADD_SUB (Adder/Subtractor) 683490 | 2020.10.05
5.2. Prototype Verilog HDL
Tha am prototype Verilog HDL a leanas suidhichte anns an Verilog Design File (.v) lpm.v anns an edasynthesis eòlaire.
modal lpm_add_sub (toradh, cout, thar-shruth, add_sub, cin, dataa, datab, cloc, clken, aclr); paramadair lpm_type = “lpm_add_sub”; paramadair lpm_width = 1; paramadair lpm_direction = “UNUSED”; paramadair lpm_representation = “SIGNED”; paramadair lpm_pipeline = 0; paramadair lpm_hint = “UNUSED”; cuir a-steach [lpm_width-1: 0] dataa, datab; cuir a-steach add_sub, cin; cloc a-steach; cur a-steach clken; cuir a-steach aclr; toradh [lpm_width-1:0] toradh; toradh cout, thar-shruth; modal deireannach
5.3. Dearbhadh Co-phàirt VHDL
Tha dearbhadh co-phàirt VHDL suidhichte ann an Dealbhadh VHDL File (.vhd) LPM_PACK.vhd anns an Leabharlann vhdllpm eòlaire.
pàirt LPM_ADD_SUB coitcheann (LPM_WIDTH : nàdarra;
LPM_DIRECTION : string := "UNUSED"; LPM_REPRESENTATION : string := "SINNTE"; LPM_PIPELINE : nàdarrach := 0; LPM_TYPE : sreang := L_ADD_SUB; LPM_HINT : string := “UNUSED”); port (DATAA : ann an std_logic_vector(LPM_WIDTH-1 downto 0); DATAB : ann an std_logic_vector(LPM_WIDTH-1 downto 0); ACLR : ann an std_logic := '0'; CLOC : ann an std_logic := '0'; CLKEN : ann an std := '1'; CIN : ann an std_logic := 'Z'; ADD_SUB : ann an std_logic := '1'; pàirt crìochnachaidh;
5.4. VHDL LIBRARY_USE Dearbhadh
Chan eil feum air an dearbhadh VHDL LIBRARY-USE ma chleachdas tu Dearbhadh Co-phàirteach VHDL.
LEABHARLANN lpm; CLEACHDADH lpm.lpm_components.all;
5.5. Puirt
Tha na clàran a leanas a’ liostadh na puirt cuir a-steach is toraidh airson cridhe LPM_ADD_SUB IP.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 23
5. LPM_ADD_SUB (Adder/Subtractor) 683490 | 2020.10.05
Clàr 15. LPM_ADD_SUB IP Core Input Puirt
Ainm Port
A dhìth
Tuairisgeul
cin
Chan eil
Gabh a-steach don ìre ìosal. Airson obraichean cur-ris, is e an luach bunaiteach 0. Airson
obrachaidhean toirt air falbh, is e an luach bunaiteach 1.
dàta[]
Tha
Cuir a-steach dàta. Tha meud a’ phuirt a-steach an urra ri luach paramadair LPM_WIDTH.
dàta[]
Tha
Cuir a-steach dàta. Tha meud a’ phuirt a-steach an urra ri luach paramadair LPM_WIDTH.
cuir_sub
Chan eil
Port cuir a-steach roghainneil gus gluasad fiùghantach a chomasachadh eadar an nathair agus an toirt air falbh
gnìomhan. Ma thèid am paramadair LPM_DIRECTION a chleachdadh, cha ghabh add_sub a chleachdadh. Ma tha
air fhàgail air falbh, is e ADD an luach bunaiteach. Tha Intel a’ moladh gun cleachd thu am faidhle
LPM_DIRECTION paramadair gus obrachadh na gnìomh LPM_ADD_SUB a shònrachadh,
seach a bhith a' sònrachadh seasmhach dhan phort add_sub.
uaireadair
Chan eil
Cur a-steach airson cleachdadh pìoban. Tha am port cloc a’ toirt a-steach a’ ghleoc airson loidhne-phìoban
obrachadh. Airson luachan LPM_PIPELINE a bharrachd air 0 (bunaiteach), feumaidh port a’ ghleoc a bhith
air a chomasachadh.
clken
Chan eil
Cumasaich cloc airson cleachdadh pìoba. Nuair a tha am port clken àrd, bidh an nathair /
bidh gnìomhachd toirt air falbh a’ gabhail àite. Nuair a tha an comharra ìosal, chan eil gnìomhachd sam bith ann. Ma tha
air fhàgail air falbh, is e 1 an luach bunaiteach.
aclr
Chan eil
Asyncronach soilleir airson cleachdadh pìoban. Bidh an loidhne-phìoban a’ tòiseachadh gu neo-mhìnichte (X)
ìre loidsig. Faodar am port aclr a chleachdadh aig àm sam bith gus an loidhne-phìoban ath-shuidheachadh gu gach 0s,
asyncronach ri comharra a’ ghleoc.
Clàr 16. LPM_ADD_SUB IP Core Output Ports
Ainm Port
A dhìth
Tuairisgeul
toradh[]
Tha
Toradh dàta. Tha meud a’ phuirt toraidh an urra ri paramadair LPM_WIDTH
luach.
cuut
Chan eil
Giùlain (iasad-a-steach) den phìos as cudromaiche (MSB). Tha corporra aig a’ phort cout
mìneachadh mar choileanadh (iasad-a-steach) den MSB. Bidh am port cout a’ lorg
thar-shruth ann an gnìomhachd UNSIGNED. Bidh am port cout ag obair san aon dòigh airson
Obrachaidhean air an soidhnigeadh agus gun ainm.
thar-shruth
Chan eil
Toradh eisgeachd thar-shruth roghainneil. Tha mìneachadh corporra aig a’ phort thar-shruth mar
an XOR den ghiùlan a-steach don MSB le coileanadh an MSB. Am port thar-shruth
ag ràdh nuair a tha toraidhean nas àirde na an ìre a tha rim faighinn, agus nach tèid a chleachdadh ach nuair a bhios an
Tha luach paramadair LPM_REPRESENTATION SIGNED.
5.6. Paramadairean
Tha an clàr a leanas a’ liostadh nam prìomh pharamadairean LPM_ADD_SUB IP.
Clàr 17. LPM_ADD_SUB IP Prìomh Paramadairean
Ainm paramadair LPM_WIDTH
Seòrsa Integer
Feumaidh Tha
Tuairisgeul
Sònraichidh seo leud nam puirt dataa[], datab[], agus toradh[].
LPM_DIRECTION
sreang
Chan eil
Is e luachan ADD, SUB, agus UNUSED. Ma thèid fhàgail air falbh, is e DEFAULT an luach bunaiteach, a stiùireas am paramadair gus a luach a thoirt bhon phort add_sub. Cha ghabh am port add_sub a chleachdadh ma chleachdar LPM_DIRECTION. Tha Intel a’ moladh gun cleachd thu am paramadair LPM_DIRECTION gus obrachadh na gnìomh LPM_ADD_SUB a shònrachadh, seach a bhith a’ sònrachadh seasmhach don phort add_sub.
a’ leantainn…
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 24
Cuir fios air ais
5. LPM_ADD_SUB (Adder/Subtractor) 683490 | 2020.10.05
Ainm paramadair LPM_REPRESENTATION LPM_PIPELINE LPM_HINT LPM_TYPE ONE_INPUT_IS_CONSTANT MAXIMIZE_SPEED
INTENDED_DEVICE_FAMILY
Seòrsa String Integer String String String Integer
sreang
A dhìth Chan eil Chan eil Chan eil Chan eil Chan eil
Chan eil
Tuairisgeul
Sònraich an seòrsa cur-ris a chaidh a dhèanamh. Tha luachan air an soidhnigeadh agus CHAN EIL SIN. Ma dh’ fhàgar a-mach e, tha an luach bunaiteach air a SHÀBHADH. Nuair a tha am paramadair seo air a shuidheachadh gu SIGNED, bidh an neach-nuadhachaidh / toirt air falbh a’ mìneachadh an cuir a-steach dàta mar thabhartas dà ainm.
Sònraichidh seo an àireamh de chuairtean gleoc latency co-cheangailte ris an toradh [] toradh. Tha luach neoni (0) a’ nochdadh nach eil latency ann, agus gun tèid gnìomh measgachadh a-mhàin a chuir an gnìomh sa bhad. Ma thèid fhàgail air falbh, is e 0 an luach bunaiteach (gun phìob).
Leigidh seo leat paramadairean Intel-sònraichte a shònrachadh ann an dealbhadh VHDL files (.vhd). Tha an luach bunaiteach UNUSED.
A’ comharrachadh ainm eintiteas leabharlann modalan parameterized (LPM) ann an dealbhadh VHDL files.
Paramadair sònraichte Intel. Feumaidh tu am paramadair LPM_HINT a chleachdadh gus am paramadair ONE_INPUT_IS_CONSTANT a shònrachadh ann an dealbhadh VHDL files. Is e luachan THA, CHAN EIL, agus CHAN EIL CLEACHDADH. A’ toirt seachad barrachd optimization ma tha aon chur-a-steach seasmhach. Ma thèid fhàgail air falbh, is e an luach bunaiteach NO.
Paramadair sònraichte Intel. Feumaidh tu am paramadair LPM_HINT a chleachdadh gus am paramadair MAXIMIZE_SPEED a shònrachadh ann an dealbhadh VHDL files. Faodaidh tu luach a shònrachadh eadar 0 agus 10. Ma thèid a chleachdadh, feuchaidh am bathar-bog Intel Quartus Prime ri eisimpleir sònraichte den ghnìomh LPM_ADD_SUB a bharrachadh airson luaths seach comasachd, agus a’ dol thairis air suidheachadh roghainn loidsig Optimization Technique. Mura tèid MAXIMIZE_SPEED a chleachdadh, thèid luach an roghainn Teicneòlas Optimization a chleachdadh na àite. Ma tha an suidheachadh airson MAXIMIZE_SPEED 6 no nas àirde, nì an Compiler an cridhe LPM_ADD_SUB IP airson astar nas luaithe a’ cleachdadh slabhraidhean giùlain; ma tha an suidheachadh 5 no nas lugha, bidh an Compiler a’ buileachadh an dealbhadh gun slabhraidhean giùlain. Feumaidh am paramadair seo a bhith air a shònrachadh airson innealan Cyclone, Stratix, agus Stratix GX a-mhàin nuair nach eil am port add_sub air a chleachdadh.
Tha am paramadair seo air a chleachdadh airson adhbharan modaladh agus atharrais giùlain. Bidh an deasaiche paramadair a’ tomhas luach a’ pharamadair seo.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 25
683490 | 2020.10.05 Cuir fios air ais
6. LPM_COMPARE (Coimeasach)
Figear 5.
Bidh cridhe LPM_COMPARE IP a’ dèanamh coimeas eadar luach dà sheata dàta gus an dàimh eatorra a dhearbhadh. Anns an fhoirm as sìmplidhe, faodaidh tu geata toirmeasgach-OR a chleachdadh gus faighinn a-mach a bheil dà phìos dàta co-ionann.
Tha am figear a leanas a’ sealltainn na puirt airson cridhe LPM_COMPARE IP.
LPM_COMPARE puirt
LPM_COMPARE
clken
alb
aeb
dàta[]
agb
dàta[]
aois
uaireadair
aneb
aclr
aileb
inst
6.1. Feartan
Tha cridhe LPM_COMPARE IP a’ tabhann na feartan a leanas: · A’ gineadh gnìomh coimeasach gus coimeas a dhèanamh eadar dà sheata de dhàta · A’ toirt taic do leud dàta 1 pìosan · A’ toirt taic do chruth riochdachadh dàta leithid soidhnichte agus gun ainm · A’ toirt a-mach na seòrsaichean toraidh a leanas:
- alb (tha cur-a-steach A nas lugha na cuir a-steach B) - aeb (tha cur a-steach A co-ionann ri cuir a-steach B) - agb (tha cur a-steach A nas motha na cuir a-steach B) - aois (tha cur-a-steach A nas motha na no co-ionann ri cuir a-steach B) - aneb ( chan eil cuir a-steach A co-ionann ri cuir a-steach B) - aleb (tha cuir a-steach A nas lugha na no co-ionann ri cuir a-steach B) · A’ toirt taic do shoilleir asyncronach roghainneil agus gleoc a’ comasachadh puirt cuir a-steach · Sònrachadh cuir a-steach an datab [] gu seasmhach · A’ toirt taic do phìoban le latency toraidh a ghabhas rèiteachadh
Intel Corporation. Còraichean uile glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh na còrach atharrachaidhean a dhèanamh air toraidhean agus seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.
ISO 9001: 2015 clàraichte
6. LPM_COMPARE (Coimeasach) 683490 | 2020.10.05
6.2. Prototype Verilog HDL
Tha am prototype Verilog HDL a leanas suidhichte anns an Verilog Design File (.v) lpm.v anns an edasynthesis eòlaire.
modal lpm_compare (alb, aeb, agb, aleb, aneb, aois, dàta, datab, cloc, clken, aclr ); paramadair lpm_type = "lpm_compare"; paramadair lpm_width = 1; paramadair lpm_representation = “UN SIGNED”; paramadair lpm_pipeline = 0; paramadair lpm_hint = “UNUSED”; cuir a-steach [lpm_width-1: 0] dataa, datab; cloc a-steach; cur a-steach clken; cuir a-steach aclr; toradh alb, aeb, agb, aleb, aneb, aoiseb; modal deireannach
6.3. Dearbhadh Co-phàirt VHDL
Tha dearbhadh co-phàirt VHDL suidhichte ann an Dealbhadh VHDL File (.vhd) LPM_PACK.vhd anns an Leabharlann vhdllpm eòlaire.
co-phàirt LPM_COMPARE coitcheann (LPM_WIDTH : nàdarra;
LPM_REPRESENTATION : string := "UNSIGNED"; LPM_PIPELINE : nàdarrach := 0; LPM_TYPE : string := L_COMPARE ; LPM_HINT : string := “UNUSED”); port (DATAA : ann an std_logic_vector(LPM_WIDTH-1 downto 0); DATAB : ann an std_logic_vector(LPM_WIDTH-1 downto 0); ACLR : ann an std_logic := '0'; CLOC : ann an std_logic := '0'; CLKEN : ann an std := '1'; AGB : a-mach std_logic; pàirt crìochnachaidh;
6.4. VHDL LIBRARY_USE Dearbhadh
Chan eil feum air an dearbhadh VHDL LIBRARY-USE ma chleachdas tu Dearbhadh Co-phàirteach VHDL.
LEABHARLANN lpm; CLEACHDADH lpm.lpm_components.all;
6.5. Puirt
Tha na clàran a leanas a’ liostadh na puirt cuir a-steach is toraidh airson cridhe LMP_COMPARE IP.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 27
6. LPM_COMPARE (Coimeasach) 683490 | 2020.10.05
Clàr 18. LPM_COMPARE IP bunaiteach puirt a-steach
Ainm Port
A dhìth
Tuairisgeul
dàta[]
Tha
Cuir a-steach dàta. Tha meud a’ phuirt a-steach an urra ri luach paramadair LPM_WIDTH.
dàta[]
Tha
Cuir a-steach dàta. Tha meud a’ phuirt a-steach an urra ri luach paramadair LPM_WIDTH.
uaireadair
Chan eil
Cuir a-steach cloc airson cleachdadh pìoba. Tha am port cloc a’ toirt a-steach a’ ghleoc airson loidhne-phìoban
obrachadh. Airson luachan LPM_PIPELINE a bharrachd air 0 (bunaiteach), feumaidh port a’ ghleoc a bhith
air a chomasachadh.
clken
Chan eil
Cumasaich cloc airson cleachdadh pìoba. Nuair a dhearbhas am port clken àrd, bidh an
obrachadh coimeas a’ gabhail àite. Nuair a tha an comharra ìosal, chan eil gnìomhachd sam bith ann. Ma tha
air fhàgail air falbh, is e 1 an luach bunaiteach.
aclr
Chan eil
Asyncronach soilleir airson cleachdadh pìoban. Bidh an loidhne-phìoban a’ tòiseachadh gu loidsig neo-mhìnichte (X).
ìre. Faodar am port aclr a chleachdadh aig àm sam bith gus an loidhne-phìoban ath-shuidheachadh gu gach 0s,
asyncronach ri comharra a’ ghleoc.
Clàr 19. LPM_COMPARE IP bunaiteach puirt toraidh
Ainm Port
A dhìth
Tuairisgeul
alb
Chan eil
Port toraidh airson an coimeas. Air a dhearbhadh ma tha cuir a-steach A nas lugha na cuir a-steach B.
aeb
Chan eil
Port toraidh airson an coimeas. Air a dhearbhadh ma tha cuir a-steach A co-ionann ri cuir a-steach B.
agb
Chan eil
Port toraidh airson an coimeas. Air a dhearbhadh ma tha cuir a-steach A nas motha na cuir a-steach B.
aois
Chan eil
Port toraidh airson an coimeas. Dearbhadh ma tha cuir a-steach A nas motha na no co-ionann ris an cuir a-steach
B.
aneb
Chan eil
Port toraidh airson an coimeas. Air a dhearbhadh mura h-eil cuir a-steach A co-ionann ri cuir a-steach B.
aileb
Chan eil
Port toraidh airson an coimeas. Air a dhearbhadh ma tha cuir a-steach A nas lugha na no co-ionann ri cuir a-steach B.
6.6. Paramadairean
Tha an clàr a leanas a’ liostadh nam paramadairean airson cridhe LPM_COMPARE IP.
Clàr 20. LPM_COMPARE IP bunaiteach Paramadairean
Ainm Parameter
Seòrsa
A dhìth
LPM_WIDTH
Slànaighear Tha
LPM_REPRESENTATION
sreang
Chan eil
LPM_PIPELINE
Integer No
LPM_HINT
sreang
Chan eil
Tuairisgeul
Sònraichidh seo leud nam puirt dataa[] agus datab[].
Sònraich an seòrsa coimeas a chaidh a dhèanamh. Tha luachan air an soidhnigeadh agus CHAN EIL SIN. Ma thèid a fàgail air falbh, tha an luach bunaiteach UNSIGNED. Nuair a tha an luach paramadair seo air a shuidheachadh gu SÒNRAICHTE, bidh an neach-coimeasach a’ mìneachadh an cuir a-steach dàta mar shìneadh a dhà.
Sònraichidh seo an àireamh de chuairtean gleoc de latency co-cheangailte ri toradh alb, aeb, agb, ageb, aleb, no aneb. Tha luach neoni (0) a’ nochdadh nach eil latency ann, agus gun tèid gnìomh measgachadh a-mhàin a chuir an gnìomh sa bhad. Ma thèid fhàgail air falbh, is e 0 an luach bunaiteach (gun phìob).
Leigidh seo leat paramadairean Intel-sònraichte a shònrachadh ann an dealbhadh VHDL files (.vhd). Tha an luach bunaiteach UNUSED.
a’ leantainn…
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 28
Cuir fios air ais
6. LPM_COMPARE (Coimeasach) 683490 | 2020.10.05
Ainm paramadair LPM_TYPE INTENDED_DEVICE_FAMILY
ONE_INPUT_IS_CONSTANT
Seòrsa String String
sreang
Chan eil feum air Chan eil
Chan eil
Tuairisgeul
A’ comharrachadh ainm eintiteas leabharlann modalan parameterized (LPM) ann an dealbhadh VHDL files.
Tha am paramadair seo air a chleachdadh airson adhbharan modaladh agus atharrais giùlain. Bidh an deasaiche paramadair a’ tomhas luach a’ pharamadair seo.
Paramadair sònraichte Intel. Feumaidh tu am paramadair LPM_HINT a chleachdadh gus am paramadair ONE_INPUT_IS_CONSTANT a shònrachadh ann an dealbhadh VHDL files. Is e luachan THA, CHAN EIL, no CHAN EIL A DHÈANAMH. A’ toirt seachad barrachd optimization ma tha cuir a-steach seasmhach. Ma thèid fhàgail air falbh, is e an luach bunaiteach NO.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 29
683490 | 2020.10.05 Cuir fios air ais
7. ALTECC (Còd Ceartachaidh Mearachd: Encoder/Decoder) IP Core
Figear 6.
Bidh Intel a’ toirt seachad cridhe IP ALTECC gus gnìomhachd ECC a chuir an gnìomh. Bidh ECC a’ lorg dàta truaillidh a tha a’ tachairt aig taobh an ghlacadair aig àm sgaoileadh dàta. Tha an dòigh ceartachaidh mhearachdan seo nas freagarraiche airson suidheachaidhean far a bheil mearachdan a’ tachairt air thuaiream seach ann an spreadhaidhean.
Bidh an ECC a’ lorg mhearachdan tro phròiseas còdachadh is dì-chòdachadh dàta. Airson example, nuair a thèid an ECC a chuir an sàs ann an tagradh tar-chuir, thèid dàta a chaidh a leughadh bhon stòr a chòdachadh mus tèid a chuir chun ghlacadair. Tha an toradh (facal còd) bhon encoder a’ toirt a-steach an dàta amh a tha ceangailte ris an àireamh de phìosan co-ionannachd. Tha an dearbh àireamh de bhuillean co-ionannachd a tha ceangailte ris an urra ris an àireamh de phìosan anns an dàta cuir a-steach. Thèid am facal còd a chaidh a chruthachadh an uairsin a chuir chun cheann-uidhe.
Bidh an neach-gleidhidh a’ faighinn am facal còd agus ga dhì-chòdachadh. Bidh fiosrachadh a gheibh an decoder a’ dearbhadh a bheil mearachd air a lorg. Bidh an decoder a’ lorg mhearachdan aon-phìos agus dà-phìos, ach chan urrainn dha ach mearachdan aon-phìos a cheartachadh anns an dàta truaillte. Tha an seòrsa seo de ECC na lorg mearachd dùbailte ceartachadh mearachd singilte (SECDED).
Faodaidh tu gnìomhan còdaidh is dì-chòdaidh a’ chridhe ALTECC IP a rèiteachadh. Tha an dàta a chuirear a-steach don encoder air a chòdachadh gus facal còd a ghineadh a tha na mheasgachadh de chuir a-steach dàta agus na pìosan co-ionannachd a chaidh a chruthachadh. Tha am facal còd a chaidh a chruthachadh air a ghluasad chun mhodal decoder airson a dhì-chòdachadh dìreach mus ruig e a bhloc ceann-uidhe. Bidh an decoder a’ gineadh vectar syndrome gus faighinn a-mach a bheil mearachd sam bith anns an fhacal còd a fhuaireadh. Bidh an decoder a’ ceartachadh an dàta a-mhàin ma tha a’ mhearachd aon-phìos bho na pìosan dàta. Chan eil comharra air a chomharrachadh ma tha a’ mhearachd aon-phìos bho na pìosan co-ionannachd. Tha comharran brataich aig an decoder cuideachd gus inbhe an dàta a fhuaireadh agus na gnìomhan a rinn an decoder a nochdadh, ma tha gin ann.
Tha na h-àireamhan a leanas a’ sealltainn na puirt airson cridhe IP ALTECC.
Puirt Encoder ALTECC
ALTECC_ENCODER
dàta[]
q[]
uaireadair
cloc
aclr
inst
Intel Corporation. Còraichean uile glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh na còrach atharrachaidhean a dhèanamh air toraidhean agus seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.
ISO 9001: 2015 clàraichte
7. ALTECC (Còd Ceartachaidh Mearachd: Encoder/Decoder) IP Core 683490 | 2020.10.05
Figear 7. Puirt decoder ALTECC
ALTECC_DECODER
dàta[] gleoc cloc
q[] err_detected err_corrected
mearachd_marbhtach
aclr
inst
7.1. Feartan còdaidh ALTECC
Tha cridhe IP encoder ALTECC a’ tabhann na feartan a leanas: · A’ coileanadh còdachadh dàta a’ cleachdadh sgeama Hamming Coding · A’ toirt taic do leud dàta de 2 pìosan · A’ toirt taic do chruth riochdachadh dàta soidhnichte agus gun ainm · A’ toirt taic do phìoban le latency toraidh de chearcall uair no dhà · A’ toirt taic roghainneil soilleir asyncronach agus gleoc comasachadh puirt
Bidh cridhe IP encoder ALTECC a’ toirt a-steach agus a’ còdachadh an dàta a’ cleachdadh sgeama Hamming Coding. Bidh sgeama Hamming Coding a’ faighinn na pìosan co-ionannachd agus gan ceangal ris an dàta tùsail gus am facal còd toraidh a thoirt gu buil. Tha an àireamh de phìosan co-ionannachd an urra ri leud an dàta.
Tha an clàr a leanas a’ liostadh an àireamh de phìosan co-ionannachd a tha ceangailte airson diofar raointean leud dàta. Tha an colbh Total Bits a’ riochdachadh an àireamh iomlan de phìosan dàta cuir a-steach agus pìosan co-ionannachd ceangailte.
Clàr 21 .
An àireamh de bhuillean co-ionannachd agus facal còd a rèir leud an dàta
Leud dàta
An àireamh de phìosan co-ionannachd
Pìosan Iomlan (Facal Còd)
2-4
3+1
6-8
5-11
4+1
10-16
12-26
5+1
18-32
27-57
6+1
34-64
58-64
7+1
66-72
Bidh derivation bit parity a’ cleachdadh sgrùdadh cothromachd. Tha am pìos 1 a bharrachd (air a shealltainn sa chlàr mar +1) ceangailte ris na pìosan co-ionannachd mar MSB den fhacal còd. Nì seo cinnteach gu bheil àireamh chothromach de 1 aig an fhacal còd. Airson example, mas e leud an dàta 4 pìosan, tha 4 pìosan co-ionannachd air an cur ris an dàta gus a bhith nam facal còd le 8 pìosan gu h-iomlan. Ma tha àireamh chorr de 7 aig 8 pìosan bhon LSB den fhacal còd 1-bit, is e an 8mh pìos (MSB) den fhacal còd 1 a’ dèanamh an àireamh iomlan de 1 anns an fhacal còd eadhon.
Tha am figear a leanas a’ sealltainn am facal còd a chaidh a chruthachadh agus rèiteachadh nam pìosan co-ionannachd agus pìosan dàta ann an cuir a-steach dàta 8-bit.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 31
7. ALTECC (Còd Ceartachaidh Mearachd: Encoder/Decoder) IP Core 683490 | 2020.10.05
Figear 8.
Rèiteachadh pìosan co-ionannachd agus pìosan dàta ann am facal còd air a ghineadh 8-Bit
MSB
LSB
4 pìosan co-ionannachd
4 pìosan dàta
8
1
Chan eil cridhe IP encoder ALTECC a’ gabhail ach ri leud cuir a-steach de 2 gu 64 pìosan aig aon àm. Bidh leud cuir a-steach de 12 buillean, 29 buillean, agus 64 pìosan, a tha air leth freagarrach airson innealan Intel, a’ gineadh toraidhean de 18 buillean, 36 pìosan, agus 72 buillean fa leth. Faodaidh tu smachd a chumail air a’ chuingealachadh taghadh bit anns an deasaiche paramadair.
7.2. Prototype Verilog HDL (ALTECC_ENCODER)
Tha am prototype Verilog HDL a leanas suidhichte anns an Verilog Design File (.v) lpm.v anns an edasynthesis eòlaire.
modal altecc_encoder #( parameter intended_device_family = “gun chleachdadh”, paramadair lpm_pipeline = 0, paramadair width_codeword = 8, paramadair width_dataword = 8, paramadair lpm_type = “altecc_encoder”, paramadair lpm_hint = “gun chleachdadh”) (uèir cuir a-steach, aclr, cuir a-steach gleoc uèir gleoc uèir, uèir cuir a-steach [width_dataword-1: 0] dàta, uèir toraidh [width_codeword-1: 0] q); modal deireannach
7.3. Prototype Verilog HDL (ALTECC_DECODER)
Tha am prototype Verilog HDL a leanas suidhichte anns an Verilog Design File (.v) lpm.v anns an edasynthesis eòlaire.
modal altecc_decoder #( parameter intended_device_family = “gun chleachdadh”, paramadair lpm_pipeline = 0, paramadair width_codeword = 8, paramadair width_dataword = 8, paramadair lpm_type = “altecc_decoder”, paramadair lpm_hint = “gun chleachdadh”) (uèir cuir a-steach, aclr, cuir a-steach gleoc uèir gleoc uèir, uèir cuir a-steach [width_codeword-1: 0] dàta, uèir toraidh err_corrected, uèir toraidh err_detected, uèir a-mach err_fatal, uèir toraidh [width_dataword-1: 0] q); modal deireannach
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 32
Cuir fios air ais
7. ALTECC (Còd Ceartachaidh Mearachd: Encoder/Decoder) IP Core 683490 | 2020.10.05
7.4. Dearbhadh Co-phàirt VHDL (ALTECC_ENCODER)
Tha dearbhadh co-phàirt VHDL suidhichte ann an Dealbhadh VHDL File (.vhd) altera_mf_components.vhd anns an leabharlann vhdlaltera_mf eòlaire.
co-phàirt altecc_encoder coitcheann ( intended_device_family: string := "gun chleachdadh"; lpm_pipeline: nàdarra := 0; width_codeword: nàdarra := 8; width_dataword: nàdarra := 8; lpm_hint: string := "UNUSED"; lpm_type: string := " ”); port (aclr: ann an std_logic := '0'; cloc: ann an std_logic := '0'; gleoc: ann an std_logic := '1'; dàta: ann an std_logic_vector (width_dataword-1 sìos gu 0); q: a-mach std_logic_vector (width_codeword -1 sìos gu 0)); pàirt crìochnachaidh;
7.5. Dearbhadh Co-phàirt VHDL (ALTECC_DECODER)
Tha dearbhadh co-phàirt VHDL suidhichte ann an Dealbhadh VHDL File (.vhd) altera_mf_components.vhd anns an leabharlann vhdlaltera_mf eòlaire.
co-phàirt altecc_decoder coitcheann ( intended_device_family: string := "gun chleachdadh"; lpm_pipeline: nàdarra := 0; width_codeword: nàdarra := 8; width_dataword: nàdarra := 8; lpm_hint: string := "UNUSED"; lpm_type: string := " ”); port (aclr: in std_logic : = '0'; cloc: ann an std_logic := '0'; gleoc: ann an std_logic := '1'; dàta: ann an std_logic_vector (width_codeword-1 sìos gu 0); err_corrected : a-mach std_logic; err_detected : a-mach std_logic; q: a-mach std_logic_vector (width_dataword-1 sìos gu 0); pàirt crìochnachaidh;
7.6. VHDL LIBRARY_USE Dearbhadh
Chan eil feum air an dearbhadh VHDL LIBRARY-USE ma chleachdas tu Dearbhadh Co-phàirteach VHDL.
LEABHARLANN altera_mf; USE altera_mf.altera_mf_components.all;
7.7. Puirt encoder
Tha na clàran a leanas a’ liostadh na puirt cuir a-steach is toraidh airson cridhe còd IP ALTECC.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 33
7. ALTECC (Còd Ceartachaidh Mearachd: Encoder/Decoder) IP Core 683490 | 2020.10.05
Clàr 22. Puirt a-steach ALTECC Encoder
Ainm Port
A dhìth
Tuairisgeul
dàta[]
Tha
Port cur a-steach dàta. Tha meud a’ phuirt a-steach an urra ris an WIDTH_DATAWORD
luach paramadair. Anns a’ phort dàta[] tha an dàta amh a thèid a chòdachadh.
uaireadair
Tha
Port cuir a-steach cloc a bheir seachad comharra a’ ghleoc gus an obair còdaidh a shioncronachadh.
Tha feum air port a’ ghleoc nuair a tha an luach LPM_PIPELINE nas àirde na 0.
cloc
Chan eil
Cuir an gleoc an comas. Ma thèid fhàgail air falbh, is e 1 an luach bunaiteach.
aclr
Chan eil
Cuir a-steach soilleir asyncronach. Faodar an comharra gnìomhach aclr àrd a chleachdadh aig àm sam bith gu
soilleir gu neo-chinnteach na clàran.
Clàr 23. Puirt Toraidh Encoder ALTECC
Ainm a' phuirt q[]
Feumaidh Tha
Tuairisgeul
Port toraidh dàta còdaichte. Tha meud a’ phuirt toraidh an urra ri luach paramadair WIDTH_CODEWORD.
7.8. Puirt decoder
Tha na clàran a leanas a’ liostadh na puirt cuir a-steach is toraidh airson cridhe IP decoder ALTECC.
Clàr 24. Puirt a-steach decoder ALTECC
Ainm Port
A dhìth
Tuairisgeul
dàta[]
Tha
Port cur a-steach dàta. Tha meud a’ phuirt a-steach an urra ri luach paramadair WIDTH_CODEWORD.
uaireadair
Tha
Port cuir a-steach cloc a bheir seachad comharra a’ ghleoc gus an obair còdaidh a shioncronachadh. Tha feum air port a’ ghleoc nuair a tha an luach LPM_PIPELINE nas àirde na 0.
cloc
Chan eil
Cuir an gleoc an comas. Ma thèid fhàgail air falbh, is e 1 an luach bunaiteach.
aclr
Chan eil
Cuir a-steach soilleir asyncronach. Faodar an comharra gnìomhach aclr àrd a chleachdadh aig àm sam bith gus na clàran a ghlanadh gu neo-chinnteach.
Clàr 25. Puirt Toraidh Decoder ALTECC
Ainm a' phuirt q[]
Feumaidh Tha
Tuairisgeul
Port toraidh dàta air a dhì-chòdachadh. Tha meud a’ phuirt toraidh an urra ri luach paramadair WIDTH_DATAWORD.
err_detected Tha
Comharra bratach gus inbhe an dàta a fhuaireadh a nochdadh agus mearachdan sam bith a chaidh a lorg a shònrachadh.
err_correcte Tha d
Comharra bratach gus inbhe an dàta a fhuaireadh a nochdadh. A’ comharrachadh mearachd aon-phìos a chaidh a lorg agus a cheartachadh. Faodaidh tu an dàta a chleachdadh a chionn 's gu bheil e air a cheartachadh mu thràth.
mearachd_marbhtach
Tha
Comharra bratach gus inbhe an dàta a fhuaireadh a nochdadh. A’ comharrachadh mearachd dà-phuing a chaidh a lorg, ach nach deach a cheartachadh. Chan fhaod thu an dàta a chleachdadh ma thèid an comharra seo a dhearbhadh.
sin_e
Chan eil
Comharra toraidh a thèid àrd nuair a lorgar mearachd aon-phìos air a’ cho-ionannachd
pìosan.
7.9. Parameters encoder
Tha an clàr a leanas a’ liostadh nam paramadairean airson cridhe còd IP ALTECC.
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 34
Cuir fios air ais
7. ALTECC (Còd Ceartachaidh Mearachd: Encoder/Decoder) IP Core 683490 | 2020.10.05
Clàr 26. Parameters Encoder ALTECC
Ainm Parameter
Seòrsa
A dhìth
Tuairisgeul
WIDTH_DATAWORD
Slànaighear Tha
Sònraich leud an dàta amh. Tha luachan bho 2 gu 64. Ma thèid an dearmad, is e 8 an luach bunaiteach.
WIDTH_CODEWORD
Slànaighear Tha
Sònraich leud an fhacail còd co-fhreagarrach. Tha luachan dligheach bho 6 gu 72, ach a-mhàin 9, 17, 33, agus 65. Ma thèid an dearmad, is e 13 an luach bunaiteach.
LPM_PIPELINE
Integer No
Sònraich an loidhne-phìoban airson a’ chuairt. Tha luachan bho 0 gu 2. Mas e 0 an luach, chan eil na puirt clàraichte. Mas e an luach 1, tha na puirt toraidh clàraichte. Mas e 2 an luach, tha na puirt cuir a-steach is toraidh air an clàradh. Ma thèid fhàgail air falbh, is e 0 an luach bunaiteach.
7.10. Parameters decoder
Tha an clàr a leanas a’ liostadh prìomh pharamadairean IP decoder ALTECC.
Clàr 27. Parameters Decoder ALTECC
Ainm paramadair WIDTH_DATAWORD
Seòrsa Integer
A dhìth
Tuairisgeul
Tha
Sònraich leud an dàta amh. Is iad na luachan 2 gu 64. Tha an
Is e an luach bunaiteach 8.
WIDTH_CODEWORD
Slànuighear
Tha
Sònraich leud an fhacail còd co-fhreagarrach. Tha luachan 6
gu 72, ach a-mhàin 9, 17, 33, agus 65. Ma dh'fhàgar air falbh, an luach bunaiteach
tha 13.
LPM_PIPELINE
Slànuighear
Chan eil
A 'sònrachadh clàr a' chuairt. Tha luachan bho 0 gu 2. Ma tha an
Is e luach 0, chan eil clàr air a chuir an gnìomh. Ma tha an luach 1, tha an
tha toradh clàraichte. Ma tha an luach 2, bidh an dà chuid an cuir a-steach agus an
tha toradh clàraichte. Ma tha an luach nas àirde na 2, a bharrachd
tha clàran air an cur an gnìomh aig an toradh airson an còrr
latasan. Ma thèid fhàgail air falbh, is e 0 an luach bunaiteach.
Cruthaich port 'syn_e'
Slànuighear
Chan eil
Tionndaidh air am paramadair seo gus port syn_e a chruthachadh.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 35
683490 | 2020.10.05 Cuir fios air ais
8. Intel FPGA Multiply Adder IP Core
Figear 9.
Leigidh cridhe IP Intel FPGA Multiply Adder (Intel Stratix 10, Intel Arria 10, agus Intel Cyclone 10 GX) no ALTERA_MULT_ADD (innealan Arria V, Stratix V, agus Cyclone V) dhut iomadachaidh-nadair a chuir an gnìomh.
Tha am figear a leanas a’ sealltainn na puirt airson Intel FPGA Multiply Adder no ALTERA_MULT_ADD IP core.
Intel FPGA Multiply Adder no puirt ALTERA_MULT_ADD
Intel FPGA Multiply Adder no ALTERA_MULT_ADD
dàta[] signa datab[] signb datac[] coefsel0[] coefsel1[] coefsel2[] coefsel3[] addnsub1 addnsub3 aclr/sclr[] scanina[] cloc0 cloc1 cloc2 ena0 ena1 ena2 sload_accum
accum_sload chainin[]
scanouta[] toradh[]
aclr0 aclr1
inst
Bidh iomadachaidh-nathair a’ gabhail ri paidhrichean de chuir-a-steach, ag iomadachadh nan luachan còmhla agus an uairsin a’ cur ri no a’ toirt air falbh bho thoraidhean nan paidhrichean eile.
Ma tha leud an dàta cuir a-steach gu lèir 9-bits de leud no nas lugha, bidh an gnìomh a’ cleachdadh an rèiteachadh iomadachaidh cuir a-steach 9 x 9 bit anns a’ bhloc DSP airson innealan a bheir taic do rèiteachadh 9 x 9. Mura h-eil, bidh am bloc DSP a’ cleachdadh iomadachaidhean cuir a-steach 18 × 18-bit gus dàta a phròiseasadh le leud eadar 10 buillean agus 18 buillean. Ma thachras grunn choraichean Intel FPGA Multiply Adder no ALTERA_MULT_ADD IP ann an dealbhadh, tha na gnìomhan air an cuairteachadh mar
Intel Corporation. Còraichean uile glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh na còrach atharrachaidhean a dhèanamh air toraidhean agus seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.
ISO 9001: 2015 clàraichte
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
mòran de bhlocaichean DSP eadar-dhealaichte sa ghabhas gus am bi slighe gu na blocaichean sin nas sùbailte. Leigidh nas lugha de luchd-iomadachaidh gach bloc DSP barrachd roghainnean slighe a-steach don bhloc le bhith a’ lughdachadh slighean chun chòrr den inneal.
Tha na clàran agus na clàran loidhne-phìoban a bharrachd airson na comharran a leanas cuideachd air an cur taobh a-staigh bloc DSP: · Cur a-steach dàta · Tagh ainm-sgrìobhte no gun ainm · Cuir ris no thoir air falbh tagh · Toraidhean luchd-iomadachaidh
Ann an cùis toradh toraidh, tha a 'chiad chlàr air a chur anns a' bhloc DSP. Ach tha na clàran latency a bharrachd air an cur ann an eileamaidean loidsig taobh a-muigh a’ bhloc. Iomall ris a’ bhloc DSP, a’ toirt a-steach cuir a-steach dàta don iomadachaidh, cuir a-steach comharran smachd, agus toraidhean an nathair, cleachd slighe cunbhalach gus conaltradh leis a’ chòrr den inneal. Bidh a h-uile ceangal san gnìomh a’ cleachdadh slighe sònraichte taobh a-staigh bloc DSP. Tha an t-slighe shònraichte seo a’ toirt a-steach na slabhraidhean clàr gluasad nuair a thaghas tu an roghainn dàta cuir a-steach clàraichte iomadachaidh a ghluasad bho aon iomadachaidh gu iomadachaidh ri thaobh.
Airson tuilleadh fiosrachaidh mu bhlocaichean DSP ann an gin de na sreathan inneal Stratix V, agus Arria V, thoir sùil air a’ chaibideil DSP Blocks de na leabhraichean-làimhe fa-leth air an Duilleag Litreachais is Sgrìobhainnean Teicnigeach.
Fiosrachadh co-cheangailte AN 306: A’ cur an gnìomh iomadairean ann an innealan FPGA
A’ toirt seachad barrachd fiosrachaidh mu bhith a’ cur an gnìomh luchd-iomadachaidh a’ cleachdadh DSP agus blocaichean cuimhne ann an innealan Intel FPGA.
8.1. Feartan
Tha an Intel FPGA Multiply Adder no ALTERA_MULT_ADD IP core a’ tabhann na feartan a leanas: · A’ gineadh iomadachaidh gus obair iomadachaidh de dhà iom-fhillte a dhèanamh
àireamhan Nota: Nuair a bhios tu a’ togail iomadachaidh nas motha na am meud le taic dhùthchasach faodaidh/
bidh buaidh dèanadais mar thoradh air casgadh nam blocaichean DSP. · A’ toirt taic do leud dàta de phìosan 1 256 · A’ toirt taic do chruth riochdachadh dàta soidhnichte agus gun ainm · A’ toirt taic do phìoban le latency cuir a-steach a ghabhas rèiteachadh · A’ toirt seachad roghainn gluasad gu dinamach eadar taic dàta soidhnichte agus gun ainm · A’ toirt seachad roghainn gluasad gu dinamach eadar gnìomhachd cuir-ris is toirt air falbh · A’ toirt taic roghainneil asyncronach agus sioncronaich soilleir agus gleoc a’ comasachadh puirt cuir a-steach · A’ toirt taic do mhodh clàr dàil systolic · A’ toirt taic do ro-nadar le 8 co-èifeachdan ro-luchdachadh gach iomadachaidh · A’ toirt taic do sheasmhachd ro-luchdachadh gus cur ri fios air ais bho luchd-cruinneachaidh
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 37
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
8.1.1. Ro-nathair
Le ro-ndair, thathar a' cur ris no a' toirt air falbh mus tèid an iomadachadh a bhiadhadh.
Tha còig modhan ro-nudair ann: · Modh sìmplidh · Modh co-èifeachdais · Modh cuir a-steach · Modh ceàrnagach · Modh seasmhach
Thoir an aire:
Nuair a thathar a’ cleachdadh ro-ndair (co-èifeachd ro-nudair/cuir a-steach/modh ceàrnagach), feumaidh an aon suidheachadh gleoc a bhith aig a h-uile cuir a-steach dàta dhan iomadachaidh.
8.1.1.1. Modh sìmplidh ro-nathrach
Anns a’ mhodh seo, tha an dà operand a’ tighinn bho na puirt a-steach agus chan eilear a’ cleachdadh no a’ dol seachad air ro-nadar. Is e seo am modh bunaiteach.
Figear 10. Modh sìmplidh ro-adder
aig 0 b0
Ioma 0
toradh
8.1.1.2. Modh Co-èifeachd ro-nathrach
Anns a 'mhodh seo, tha aon operand iomadachaidh a' tighinn bhon ro-ndair, agus tha an operand eile a 'tighinn bhon stòradh co-èifeachd a-staigh. Tha an stòradh coefficient a’ ceadachadh suas ri 8 cuibhreannan ro-shuidhichte. Is e na comharran taghaidh coefficient coefsel[0..3].
Tha am modh seo air a chuir an cèill anns a’ cho-aontar a leanas.
Tha na leanas a’ sealltainn modh co-èifeachd ro-nudair aig iomadachaidh.
Figear 11. Modh Co-èifeachd ro-adder
Creachadair
a0
Ioma 0
+/-
toradh
b0
coefsel0 coef
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 38
Cuir fios air ais
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
8.1.1.3. Modh cuir a-steach ro-ndair Sa mhodh seo, tha aon operand iomadachaidh a’ tighinn bhon ro-ndair, agus tha an operand eile a’ tighinn bhon phort cuir a-steach datac[]. Tha am modh seo air a chuir an cèill anns a’ cho-aontar a leanas.
Tha na leanas a’ sealltainn modh cuir a-steach ro-nudair aig iomadachaidh.
Figear 12. Modh cuir a-steach ro-adder
aig 0 b0
Ioma 0
+/-
toradh
c0
8.1.1.4. Modh Ceàrnag Ro-ndair Tha am modh seo air a chuir an cèill anns a’ cho-aontar a leanas.
Tha na leanas a’ sealltainn modh ceàrnagach ro-nudair de dhà iomadachaidh.
Figear 13. Modh Ceàrnag ro-adder
aig 0 b0
Ioma 0
+/-
toradh
8.1.1.5. Modh seasmhach ro-nathrach
Anns a 'mhodh seo, tha aon operand iomadachaidh a' tighinn bhon phort cuir a-steach, agus tha an operand eile a 'tighinn bhon stòradh co-èifeachd a-staigh. Tha an stòradh coefficient a’ ceadachadh suas ri 8 cuibhreannan ro-shuidhichte. Is e na comharran taghaidh coefficient coefsel[0..3].
Tha am modh seo air a chuir an cèill anns a’ cho-aontar a leanas.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 39
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Tha an dealbh a leanas a’ sealltainn modh seasmhach an iomadachaidh ro-nathrach.
Figear 14. Modh Co-chòrdail ro-adder
a0
Ioma 0
toradh
caochan 0
còf
8.1.2. Clàr Moill Systolic
Ann an ailtireachd systolic, tha an dàta cuir a-steach air a bhiathadh a-steach do chascade de chlàran ag obair mar bufair dàta. Bidh gach clàr a’ lìbhrigeadh cuir a-steach sample gu iomadachaidh far a bheil e air iomadachadh leis a’ cho-èifeachd fa leth. Bidh an nathair-slabhraidh a’ stòradh na toraidhean mean air mhean bhon iomadachadh agus an toradh a chaidh a chlàradh roimhe bhon phort cuir a-steach chainin [] gus an toradh deireannach a chruthachadh. Feumar dàil a chuir air gach eileamaid ioma-chur le aon chearcall gus am bi na toraidhean a’ sioncronachadh gu h-iomchaidh nuair a thèid an cur ri chèile. Bithear a’ cleachdadh gach dàil leantainneach gus dèiligeadh ris an dà chuid cuimhne co-èifeachd agus bufair dàta nan eileamaidean iomadachaidh aca fhèin. Airson example, aon dàil airson an dàrna eileamaid cuir ris iomadachadh, dà dàil airson an treas eileamaid ioma-chuir, agus mar sin air adhart.
Figear 15. Clàran Systolic
Clàran systolic
x(t) c(0)
S -1
S -1
c(1)
S -1
S -1
c(2)
S -1
S -1
c(N-1)
S -1
S -1
S -1
S -1 y(t)
Tha x(t) a’ riochdachadh nan toraidhean bho shruth leantainneach de chuir a-steach samples agus y(t)
a’ riochdachadh cruinneachadh seata de chuir-a-steach samples, agus ri h-àm, lìonmhor leo
co-èifeachdan fa leth. Bidh an dà chuid toraidhean cuir a-steach agus toraidh a’ sruthadh bho chlì gu deas. Tha an c(0) gu c(N-1) a’ comharrachadh nan co-èifeachdan. Tha na clàran dàil systolic air an comharrachadh le S-1, ach tha an 1 a’ riochdachadh dàil aon uaireadair. Tha clàran dàil systolic air an cur ris aig
na cuir a-steach agus na toraidhean airson pìobaireachd ann an dòigh a nì cinnteach à toraidhean bhon
operand iomadachaidh agus bidh na suimean cruinnichte a’ fuireach ann an sioncranachadh. An eileamaid giollachd seo
air ath-aithris gus cuairt a chruthachadh a bhios a’ tomhas a’ ghnìomh sìolaidh. Tha an gnìomh seo
air a chur an cèill anns a’ cho-aontar a leanas.
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 40
Cuir fios air ais
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Tha N a’ riochdachadh na h-àireamh de chuairtean dàta a chaidh a-steach don chruinneadair, tha y(t) a’ riochdachadh an toraidh aig àm t, A(t) a’ riochdachadh an cuir a-steach aig àm t, agus B(i) nan co-èifeachdan. Tha an t agus i anns a’ cho-aontar a’ freagairt ri mionaid shònraichte ann an ùine, mar sin gus an toradh s a thomhasample y(t) aig àm t, buidheann de chuir-a-steach samples aig N diofar phuingean ann an ùine, no A(n), A(n-1), A(n-2), …A(n-N+1). Tha a’ bhuidheann de N cuir a-steach samptha les air an iomadachadh le N co-èifeachdan agus air an cruinneachadh còmhla gus an toradh deireannach y.
Chan eil an ailtireachd clàr systolic ri fhaighinn ach airson modhan sum-of-2 agus sum-of-4. Airson gach modh ailtireachd clàr systolic, feumaidh a’ chiad chomharra slabhraidh a bhith ceangailte ri 0.
Tha am figear a leanas a’ sealltainn buileachadh clàr dàil systolic de 2 iomadachaidh.
Figear 16. Clàr Moill Systolic Cur an gnìomh 2 iomadachaidh
slabhraidh
a0
Ioma 0
+/-
b0
a1
Ioma 1
+/-
b1
toradh
Tha suim dà iomadachaidh air a nochdadh anns a’ cho-aontar a leanas.
Tha am figear a leanas a’ sealltainn buileachadh clàr dàil systolic de 4 iomadachaidh.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 41
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Figear 17. Clàr Moill Systolic Cur an gnìomh 4 iomadachaidh
slabhraidh
a0
Ioma 0
+/-
b0
a1
Ioma 1
+/-
b1
a2
Ioma 2
+/-
b2
a3
Ioma 3
+/-
b3
toradh
Tha an t-suim de cheithir iomadachaidh air a nochdadh anns a’ cho-aontar a leanas. Figear 18. Suim 4 Iomadaichean
Tha na leanas a’ liostadh an advantages de bhuileachadh clàr systolic: · A’ lughdachadh cleachdadh ghoireasan DSP · A’ comasachadh mapadh èifeachdach anns a’ bhloc DSP a’ cleachdadh structar nathair slabhraidh
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 42
Cuir fios air ais
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
8.1.3. Constant ro-luchdachadh
Bidh an seasmhach ro-luchdachadh a’ cumail smachd air an neach-cruinneachaidh agus a’ cur ri fios air ais an neach-cruinneachaidh. Tha an LOADCONST_VALUE dligheach eadar 0. Tha an luach seasmhach co-ionann ri 64N, far a bheil N = LOADCONST_VALUE. Nuair a tha an LOADCONST_VALUE air a shuidheachadh gu 2, tha an luach seasmhach co-ionann ri 64. Gabhaidh an gnìomh seo a chleachdadh mar chuairteadh claon.
Tha an dealbh a leanas a’ sealltainn buileachadh seasmhach ro-luchdachadh.
Figear 19. Pre-load Constant
Fios air ais bho luchd-cruinneachaidh
seasmhach
a0
Ioma 0
+/-
b0
a1
Ioma 1
+/b1
toradh
accum_sload sload_accum
Thoir sùil air na coraichean IP a leanas airson buileachadh iomadachaidh eile: · ALTMULT_ACCUM · ALTMEMMULT · LPM_MULT
8.1.4. Cruinneachadh dùbailte
Bidh am feart cruinneachaidh dùbailte a’ cur clàr a bharrachd ris an t-slighe fios air ais cruinneachaidh. Tha an clàr cruinneachaidh dùbailte a’ leantainn a’ chlàr toraidh, a tha a’ toirt a-steach a’ ghleoc, comas a’ ghleoc, agus aclr. Bidh an clàr cruinneachaidh a bharrachd a’ tilleadh toradh le dàil aon-chuairt. Leigidh am feart seo leat dà sheanail cruinneachaidh a bhith agad leis an aon chunntas ghoireasan.
Tha an dealbh a leanas a’ sealltainn buileachadh an cruinneachaidh dùbailte.
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 43
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Figear 20. Dùbailte Accumulator
Clàr dùbailte Accu mulator
Luchdaich a-nuas fios air ais
a0
Ioma 0
+/-
b0
a1
Ioma 1
+/b1
Clàr toraidh toradh toradh
8.2. Prototype Verilog HDL
Gheibh thu am prototype Intel FPGA Multiply Adder no ALTERA_MULT_ADD Verilog HDL file (altera_mult_add_rtl.v) anns an leabharlann megafunctions eòlaire.
8.3. Dearbhadh Co-phàirt VHDL
Tha dearbhadh co-phàirt VHDL suidhichte anns an altera_lnsim_components.vhd anns an fhaidhle leabharlannan vhdl altera_lnsim eòlaire.
8.4. VHDL LIBRARY_USE Dearbhadh
Chan eil feum air an dearbhadh VHDL LIBRARY-USE ma chleachdas tu Dearbhadh Co-phàirteach VHDL.
LEABHARLANN altera_mf; USE altera_mf.altera_mf_components.all;
8.5. Comharran
Tha na clàran a leanas a’ liostadh na comharran cuir a-steach is toraidh aig cridhe Multiply Adder Intel FPGA IPor ALTERA_MULT_ADD IP.
Clàr 28. Iomadaich comharran cuir a-steach Intel FPGA IPor ALTERA_MULT_ADD
Comharradh
A dhìth
Tuairisgeul
dàta_0[]/dataa_1[]/
Tha
dàta_2[]/dataa_3[]
Cuir a-steach dàta chun an iomadachaidh. Port cuir a-steach [NUMBER_OF_MULTIPLIERS * WIDTH_A – 1 … 0] a leud
a’ leantainn…
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 44
Cuir fios air ais
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Signal datab_0[]/datab_1[]/ datab_2[]/datab_3[] datac_0[] /datac_1[]/ datac_2[]/datac_3[] cloc[1:0] aclr[1:0] sclr[1:0] ena [1:0] soidhne
soidhneb
scanina[] accum_sload
A dhìth Tha Chan eil
Chan eil Chan eil Chan eil Chan eil
Chan eil
Chan eil Chan eil
Tuairisgeul
Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) dha na comharran sin. Nuair a bheir thu luach X dha na comharran sin, tha an luach X air a ghluasad air na comharran toraidh.
Cuir a-steach dàta chun an iomadachaidh. Comharra cuir a-steach [NUMBER_OF_MULTIPLIERS * WIDTH_B – 1 … 0] leud Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) dha na comharran sin. Nuair a bheir thu luach X dha na comharran sin, tha an luach X air a ghluasad air na comharran toraidh.
Cuir a-steach dàta chun an iomadachaidh. Comharra cuir a-steach [NUMBER_OF_MULTIPLIERS * WIDTH_C – 1, … 0] leud Tagh INPUT airson Tagh paramadair modh creamhadair gus na comharran seo a chur an comas. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) dha na comharran sin. Nuair a bheir thu luach X dha na comharran sin, tha an luach X air a ghluasad air na comharran toraidh.
Cuir a-steach port cloc chun chlàr co-fhreagarrach. Faodar an comharra seo a chleachdadh le clàr sam bith anns a’ chridhe IP. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) dha na comharran sin. Nuair a bheir thu luach X dha na comharran sin, tha an luach X air a ghluasad air na comharran toraidh.
Cuir a-steach soilleir asyncronach don chlàr fhreagarrach. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) dha na comharran sin. Nuair a bheir thu luach X dha na comharran sin, tha an luach X air a ghluasad air na comharran toraidh.
Cuir a-steach soilleir sioncronaich don chlàr fhreagarrach. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach X dha na comharran sin. Nuair a bheir thu luach X dha na comharran sin, tha an luach X air a ghluasad air na comharran toraidh
Dèan comas air cuir a-steach chomharran don chlàr fhreagarrach. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) dha na comharran sin. Nuair a bheir thu luach X dha na comharran sin, tha an luach X air a ghluasad air na comharran toraidh.
Sònraichidh seo riochdachadh àireamhach cuir a-steach an iomadachaidh A. Ma tha an comharra signa àrd, bidh an t-iomadaiche a’ dèiligeadh ri cuir a-steach an iomadachaidh A mar àireamh soidhnichte. Ma tha an comharra signa ìosal, bidh an iomadachaidh a’ làimhseachadh an cuir a-steach iomadachaidh A mar àireamh gun ainm. Tagh VARIABLE airson Dè an cruth riochdachaidh a th’ ann airson paramadair cuir a-steach Multipliers A gus an comharra seo a chomasachadh. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) don chomharra seo. Nuair a bheir thu luach X don chur-a-steach seo, tha an luach X air a ghluasad air na comharran toraidh.
A’ sònrachadh riochdachadh àireamhach a’ chomharra cuir a-steach iomadachaidh B. Ma tha an comharra signb àrd, bidh an t-iomadaiche a’ làimhseachadh a’ chomharra cuir a-steach iomadachaidh B mar àireamh lìonaidh dà shoidhnichte. Ma tha an comharra signb ìosal, bidh an iomadachaidh a’ làimhseachadh a’ chomharra iomadachaidh cuir a-steach B mar àireamh gun ainm. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) don chomharra seo. Nuair a bheir thu luach X don chur-a-steach seo, tha an luach X air a ghluasad air na comharran toraidh.
Cur a-steach airson slabhraidh sganaidh A. Comharra cuir a-steach [WIDTH_A – 1, … 0] a leud. Nuair a tha luach SCANA aig paramadair INPUT_SOURCE_A, tha an comharra scanina[] a dhìth.
Bidh e gu dinamach a’ sònrachadh a bheil luach an cruinneachaidh seasmhach. Ma tha an comharra accum_sload ìosal, tha an toradh iomadachaidh air a luchdachadh a-steach don neach-cruinneachaidh. Na cleachd accum_sload agus sload_accum aig an aon àm.
a’ leantainn…
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 45
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Signal sload_accum
chainin[] addnsub1
cuir-ris3
coefsel0[] coefsel1[] coefsel2[] coefsel3[]
Chan eil feum air
Chan eil Chan eil
Chan eil
Chan eil Chan eil Chan eil
Tuairisgeul
Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) don chomharra seo. Nuair a bheir thu luach X don chur-a-steach seo, tha an luach X air a ghluasad air na comharran toraidh.
Bidh e gu dinamach a’ sònrachadh a bheil luach an cruinneachaidh seasmhach. Ma tha an comharra sload_accum àrd, tha an toradh iomadachaidh air a luchdachadh a-steach don neach-cruinneachaidh. Na cleachd accum_sload agus sload_accum aig an aon àm. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) don chomharra seo. Nuair a bheir thu luach X don chur-a-steach seo, tha an luach X air a ghluasad air na comharran toraidh.
Bus cuir a-steach toradh adder bhon stage. Comharra cuir a-steach [WIDTH_CHAININ – 1, … 0] farsaing.
Dèan cur-ris no toirt air falbh ris na toraidhean bhon chiad phaidhir de luchd-iomadachaidh. Cuir a-steach 1 gu comharra addnsub1 gus na toraidhean bhon chiad phaidhir de luchd-iomadachaidh a chur ris. Cuir a-steach 0 gu comharra addnsub1 gus na toraidhean a thoirt air falbh bhon chiad phaidhir iomadachaidh. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) don chomharra seo. Nuair a bheir thu luach X don chur-a-steach seo, tha an luach X air a ghluasad air na comharran toraidh.
Dèan cur-ris no toirt air falbh ris na toraidhean bhon chiad phaidhir de luchd-iomadachaidh. Cuir a-steach 1 gu comharra addnsub3 gus na toraidhean bhon dàrna paidhir de luchd-iomadachaidh a chur ris. Cuir a-steach 0 gu comharra addnsub3 gus na toraidhean a thoirt air falbh bhon chiad phaidhir iomadachaidh. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) don chomharra seo. Nuair a bheir thu luach X don chur-a-steach seo, tha an luach X air a ghluasad air na comharran toraidh.
Comharra cuir a-steach co-èifeachd[0:3] chun chiad iomadachaidh. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) don chomharra seo. Nuair a bheir thu luach X don chur-a-steach seo, tha an luach X air a ghluasad air na comharran toraidh.
Comharra cuir a-steach co-èifeachd[0:3] chun dàrna iomadachaidh. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) don chomharra seo. Nuair a bheir thu luach X don chur-a-steach seo, tha an luach X air a ghluasad air na comharran toraidh.
Comharra cuir a-steach co-èifeachd[0:3] chun treas iomadachaidh. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) don chomharra seo. Nuair a bheir thu luach X don chur-a-steach seo, tha an luach X air a ghluasad air na comharran toraidh.
Comharra cuir a-steach co-èifeachd [0:3] chun cheathramh iomadachaidh. Tha am modail atharrais airson an IP seo a’ toirt taic do luach cuir a-steach neo-chinnteach (X) don chomharra seo. Nuair a bheir thu luach X don chur-a-steach seo, tha an luach X air a ghluasad air na comharran toraidh.
Clàr 29. Iomadaich comharran toraidh Intel FPGA IP nathair
Comharradh
A dhìth
Tuairisgeul
toradh []
Tha
Comharra toraidh iomadachaidh. Comharra toraidh [WIDTH_RESULT - 1 ... 0] de leud
Tha am modail atharrais airson an IP seo a’ toirt taic do luach toraidh neo-chinnteach (X). Nuair a bheir thu seachad luach X mar an cuir a-steach, tha an luach X air a ghluasad air a’ chomharra seo.
scanouta []
Chan eil
Toradh slabhraidh sganaidh A. Comharra toraidh [WIDTH_A – 1..0] farsaing.
Tagh barrachd air 2 airson àireamhan iomadachaidh agus tagh Cuir a-steach slabhraidh scan airson Dè an cuir a-steach A den iomadachaidh ceangailte ri paramadair gus an comharra seo a chomasachadh.
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 46
Cuir fios air ais
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
8.6. Paramadairean
8.6.1. Tab coitcheann
Clàr 30. Coitcheann Tab
Paramadair
Paramadair air a ghineadh le IP
Luach
Dè an àireamh de luchd-iomadachaidh?
number_of_m 1 – 4 ultipliers
Dè cho farsaing ‘s a bu chòir na busaichean inntrigidh A width_a a bhith?
1-256
Dè cho farsaing ‘s a bu chòir na busaichean inntrigidh B width_b a bhith?
1-256
Dè cho farsaing 'sa bu chòir am bus toraidh 'toraidh' a bhith?
leud_toradh
1-256
Cruthaich comas cloc co-cheangailte airson gach cloc
gui_associate Air d_clock_enabl Off e
8.6.2. Modhan a bharrachd tab
Clàr 31. Modhan a bharrachd Tab
Paramadair
Paramadair air a ghineadh le IP
Luach
Toraidhean Configuration
Clàraich toradh an aonaid nathair
gui_output_re Air adhart
gràisg
dheth
Dè an stòr airson cuir a-steach uaireadair?
gui_output_re gister_clock
Clock0 Clock1 Clock2
Dè an stòr airson cuir a-steach soilleir asyncronach?
gui_output_re gister_aclr
GUN ACLR0 ACLR1
Dè an stòr airson cuir a-steach soilleir sioncronaich?
gui_output_re gister_sclr
GUN SCLR0 SCLR1
Obrachadh Adder
Dè an obrachadh a bu chòir a dhèanamh air toraidhean a’ chiad phaidhir de luchd-iomadachaidh?
gui_multiplier 1_direction
ADD, SUB, VARIABLE
Luach bunaiteach 1
16
Tuairisgeul
An àireamh de luchd-iomadachaidh ri chur ri chèile. Tha luachan 1 suas gu 4. Sònraich leud a' phuirt dataa[].
16
Sònraich leud a’ phuirt datab[].
32
Sònraich leud port an toraidh[].
dheth
Tagh an roghainn seo gus comas cloc a chruthachadh
airson gach uaireadair.
Luach bunaiteach
Tuairisgeul
Off Clock0
CHAN EIL
Tagh an roghainn seo gus clàr toraidh a’ mhodal adder a chomasachadh.
Tagh Clock0 , Clock1 no Clock2 gus an tobar gleoc airson clàran toraidh a chomasachadh agus a shònrachadh. Feumaidh tu toradh Clàr an aonaid nathair a thaghadh gus am paramadair seo a chomasachadh.
A’ sònrachadh an tobar soilleir asyncronach airson clàr toraidh an neach-nimhe. Feumaidh tu toradh Clàr an aonaid nathair a thaghadh gus am paramadair seo a chomasachadh.
A’ sònrachadh an tobar soilleir sioncronaich airson clàr toraidh an neach-atharrachaidh. Feumaidh tu toradh Clàr an aonaid nathair a thaghadh gus am paramadair seo a chomasachadh.
ADD
Tagh gnìomhachd cur-ris no toirt air falbh airson na toraidhean eadar a’ chiad agus an dàrna iomadachaidh a choileanadh.
· Tagh ADD gus obrachadh a bharrachd a dhèanamh.
· Tagh SUB gus obrachadh toirt air falbh a dhèanamh.
· Tagh VARIABLE gus port addnsub1 a chleachdadh airson smachd cur-ris / toirt air falbh fiùghantach.
a’ leantainn…
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 47
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Paramadair
Paramadair air a ghineadh le IP
Luach
Clàraich a-steach 'addnsub1'
gui_addnsub_ Air multiplier_reg Off ister1
Dè an stòr airson cuir a-steach uaireadair?
gui_addnsub_ multiplier_reg ister1_clock
Clock0 Clock1 Clock2
Dè an stòr airson cuir a-steach soilleir asyncronach?
gui_addnsub_ multiplier_aclr 1
GUN ACLR0 ACLR1
Dè an stòr airson cuir a-steach soilleir sioncronaich?
gui_addnsub_ multiplier_sclr 1
GUN SCLR0 SCLR1
Dè an obrachadh a bu chòir a dhèanamh air toraidhean an dàrna paidhir de luchd-iomadachaidh?
gui_multiplier 3_direction
ADD, SUB, VARIABLE
Clàraich a-steach 'addnsub3'
gui_addnsub_ Air multiplier_reg Off ister3
Dè an stòr airson cuir a-steach uaireadair?
gui_addnsub_ multiplier_reg ister3_clock
Clock0 Clock1 Clock2
Luach bunaiteach
Off Clock0 GUN GUN ADD
Off Clock0
Tuairisgeul
Nuair a thèid luach VARIABLE a thaghadh: · Drive addnsub1 comharra gu àrd airson
obrachadh a bharrachd. · Drive addnsub1 comharra gu ìosal airson
obrachadh toirt air falbh. Feumaidh tu barrachd air dà iomadachaidh a thaghadh gus am paramadair seo a chomasachadh.
Tagh an roghainn seo gus clàr cuir a-steach a chomasachadh airson port addnsub1. Feumaidh tu VARIABLE a thaghadh airson Dè an obrachadh a bu chòir a dhèanamh air toraidhean a’ chiad phaidhir de luchd-iomadachaidh gus am paramadair seo a chomasachadh.
Tagh Clock0 , Clock1 no Clock2 gus an comharra cloc cuir a-steach airson clàr addnsub1 a shònrachadh. Feumaidh tu cuir a-steach Clàr 'addnsub1' a thaghadh gus am paramadair seo a chomasachadh.
A’ sònrachadh an tobar soilleir asyncronach airson a’ chlàr addnsub1. Feumaidh tu cuir a-steach Clàr 'addnsub1' a thaghadh gus am paramadair seo a chomasachadh.
Sònraichidh seo an tobar soilleir sioncronaich airson a’ chlàr addnsub1. Feumaidh tu cuir a-steach Clàr 'addnsub1' a thaghadh gus am paramadair seo a chomasachadh.
Tagh gnìomhachd cur-ris no toirt air falbh gus coileanadh airson na toraidhean eadar an treas agus an ceathramh iomadachaidh. · Tagh ADD gus cur-ris a dhèanamh
obrachadh. · Tagh SUB gus toirt air falbh a dhèanamh
obrachadh. · Tagh VARIABLE gus addnsub1 a chleachdadh
port airson smachd fiùghantach cur-ris/toirt air falbh. Nuair a thèid luach VARIABLE a thaghadh: · Drive addnsub1 comharra gu àrd airson obrachadh a bharrachd. · Drive addnsub1 comharra gu ìosal airson obrachadh toirt air falbh. Feumaidh tu an luach 4 a thaghadh airson Dè an àireamh de luchd-iomadachaidh? gus am paramadair seo a chomasachadh.
Tagh an roghainn seo gus clàr cuir a-steach a chomasachadh airson comharra addnsub3. Feumaidh tu VARIABLE a thaghadh airson Dè an obrachadh a bu chòir a dhèanamh air toraidhean an dàrna paidhir de luchd-iomadachaidh gus am paramadair seo a chomasachadh.
Tagh Clock0, Clock1 no Clock2 gus an comharra cloc cuir a-steach airson clàr addnsub3 a shònrachadh. Feumaidh tu cuir a-steach Clàr 'addnsub3' a thaghadh gus am paramadair seo a chomasachadh.
a’ leantainn…
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 48
Cuir fios air ais
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Paramadair
Dè an stòr airson cuir a-steach soilleir asyncronach?
Paramadair air a ghineadh le IP
Luach
gui_addnsub_ multiplier_aclr 3
GUN ACLR0 ACLR1
Dè an stòr airson cuir a-steach soilleir sioncronaich?
gui_addnsub_ multiplier_sclr 3
GUN SCLR0 SCLR1
Polarity Dèan comas air `use_subadd'
gui_use_subn Air adhart
cuir
dheth
8.6.3. Tab luchd-iomadachaidh
Clàr 32. Multipliers Tab
Paramadair
Paramadair air a ghineadh le IP
Luach
Dè tha an
gui_riochdachadh
cruth riochdachaidh ation_a
airson cuir a-steach luchd-iomadachaidh A?
Soidhne, Neo-shoidhnichte, caochlaideach
Clàraich a-steach `signa'
gui_register_s Air adhart
igna
dheth
Dè an stòr airson cuir a-steach uaireadair?
gui_register_s igna_clock
Clock0 Clock1 Clock2
Dè an stòr airson cuir a-steach soilleir asyncronach?
gui_register_s igna_aclr
GUN ACLR0 ACLR1
Dè an stòr airson cuir a-steach soilleir sioncronaich?
gui_register_s igna_sclr
GUN SCLR0 SCLR1
Dè tha an
gui_riochdachadh
cruth riochdachaidh ation_b
airson cuir a-steach iomadairean B?
Soidhne, Neo-shoidhnichte, caochlaideach
Clàraich a-steach `signb'
gui_register_s Air adhart
ignb
dheth
Luach bunaiteach GUN
CHAN EIL
Tuairisgeul
A’ sònrachadh an tobar soilleir asyncronach airson a’ chlàr addnsub3. Feumaidh tu cuir a-steach Clàr 'addnsub3' a thaghadh gus am paramadair seo a chomasachadh.
Sònraichidh seo an tobar soilleir sioncronaich airson a’ chlàr addnsub3. Feumaidh tu cuir a-steach Clàr 'addnsub3' a thaghadh gus am paramadair seo a chomasachadh.
dheth
Tagh an roghainn seo gus an gnìomh a thionndadh air ais
de phort cuir a-steach addnsub.
Drive addnsub gu àrd airson obrachadh toirt air falbh.
Drive addnsub gu ìosal airson obrachadh a bharrachd.
Luach bunaiteach
Tuairisgeul
UNSIGNED Sònraich an cruth riochdachaidh airson cuir a-steach iomadachaidh A.
dheth
Tagh an roghainn seo gus signa a chomasachadh
clàraich.
Feumaidh tu luach caochlaideach a thaghadh airson Dè an cruth riochdachaidh a th’ ann airson cuir a-steach Iomadaichean A? paramadair gus an roghainn seo a chomasachadh.
Cloc0
Tagh Clock0 , Clock1 no Clock2 gus an comharra cloc a-steach airson clàr soidhne a chomasachadh agus a shònrachadh.
Feumaidh tu cuir a-steach Clàr `signa' a thaghadh gus am paramadair seo a chomasachadh.
CHAN EIL
A’ sònrachadh an tobar soilleir asyncronach airson clàr nan soidhneachan.
Feumaidh tu cuir a-steach Clàr `signa' a thaghadh gus am paramadair seo a chomasachadh.
CHAN EIL
A’ sònrachadh an tobar soilleir sioncronaich airson a’ chlàr shoidhnichean.
Feumaidh tu cuir a-steach Clàr `signa' a thaghadh gus am paramadair seo a chomasachadh.
UNSIGNED Sònraich an cruth riochdachaidh airson cuir a-steach iomadachaidh B.
dheth
Tagh an roghainn seo gus signb a chomasachadh
clàraich.
a’ leantainn…
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 49
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Paramadair
Paramadair air a ghineadh le IP
Luach
Luach bunaiteach
Dè an stòr airson cuir a-steach uaireadair?
gui_register_s ignb_clock
Clock0 Clock1 Clock2
Cloc0
Dè an stòr airson cuir a-steach soilleir asyncronach?
gui_register_s ignb_aclr
GUN ACLR0 ACLR1
Dè an stòr airson cuir a-steach soilleir sioncronaich?
gui_register_s ignb_sclr
GUN SCLR0 SCLR1
Cur a-steach Configuration
Clàraich cuir a-steach A den iomadachaidh
Dè an stòr airson cuir a-steach uaireadair?
gui_input_reg Air adhart
eistear_a
dheth
gui_input_reg ister_a_clock
Clock0 Clock1 Clock2
CHAN EIL
Off Clock0
Dè an stòr airson cuir a-steach soilleir asyncronach?
gui_input_reg ister_a_aclr
GUN ACLR0 ACLR1
Dè an stòr airson cuir a-steach soilleir sioncronaich?
gui_input_reg ister_a_sclr
GUN SCLR0 SCLR1
Clàraich a-steach B an iomadachaidh
Dè an stòr airson cuir a-steach uaireadair?
gui_input_reg Air adhart
eistear_b
dheth
gui_input_reg ister_b_clock
Clock0 Clock1 Clock2
GUN GUN Off Clock0
Dè an stòr airson cuir a-steach soilleir asyncronach?
gui_input_reg ister_b_aclr
GUN ACLR0 ACLR1
CHAN EIL
Dè an stòr airson cuir a-steach soilleir sioncronaich?
gui_input_reg ister_b_sclr
GUN SCLR0 SCLR1
CHAN EIL
Dè an ceangal a tha ann an cuir a-steach A an iomadachaidh?
gui_multiplier Iomadaiche cuir a-steach iomadachaidh
_a_chur a-steach
Sgan cuir a-steach slabhraidh
Tuairisgeul
Feumaidh tu luach caochlaideach a thaghadh airson Dè an cruth riochdachaidh a th’ ann airson cuir a-steach Iomadaichean B? paramadair gus an roghainn seo a chomasachadh.
Tagh Clock0, Clock1 no Clock2 gus an comharra cloc a-steach airson clàr signb a chomasachadh agus a shònrachadh. Feumaidh tu cuir a-steach Clàr `signb' a thaghadh gus am paramadair seo a chomasachadh.
A’ sònrachadh an tobar soilleir asyncronach airson a’ chlàr signb. Feumaidh tu cuir a-steach Clàr `signb' a thaghadh gus am paramadair seo a chomasachadh.
A’ sònrachadh an tobar soilleir sioncronaich airson a’ chlàr signb. Feumaidh tu cuir a-steach Clàr `signb' a thaghadh gus am paramadair seo a chomasachadh.
Tagh an roghainn seo gus clàr cuir a-steach a chomasachadh airson bus cuir a-steach dataa.
Tagh Clock0, Clock1 no Clock2 gus an comharra cloc cuir a-steach clàr a chomasachadh agus a shònrachadh airson bus cuir a-steach dataa. Feumaidh tu cuir a-steach Clàr A den iomadachadh a thaghadh gus am paramadair seo a chomasachadh.
A’ sònrachadh stòr soilleir asyncronach a’ chlàr airson a’ bhus cuir a-steach dataa. Feumaidh tu cuir a-steach Clàr A den iomadachadh a thaghadh gus am paramadair seo a chomasachadh.
A’ sònrachadh stòr soilleir sioncronaich a’ chlàir airson a’ bhus cuir a-steach dataa. Feumaidh tu cuir a-steach Clàr A den iomadachadh a thaghadh gus am paramadair seo a chomasachadh.
Tagh an roghainn seo gus clàr cuir a-steach airson bus cuir a-steach datab a chomasachadh.
Tagh Clock0, Clock1 no Clock2 gus an comharra cloc cuir a-steach clàr a chomasachadh agus a shònrachadh airson bus cuir a-steach datab. Feumaidh tu cuir a-steach Clàr B den iomadachadh a thaghadh gus am paramadair seo a chomasachadh.
A’ sònrachadh stòr soilleir asyncronach a’ chlàr airson a’ bhus cuir a-steach datab. Feumaidh tu cuir a-steach Clàr B den iomadachadh a thaghadh gus am paramadair seo a chomasachadh.
A’ sònrachadh stòr soilleir sioncronaich a’ chlàir airson a’ bhus cuir a-steach datab. Feumaidh tu cuir a-steach Clàr B den iomadachadh a thaghadh gus am paramadair seo a chomasachadh.
Tagh an stòr cuir a-steach airson cuir a-steach A den iomadachaidh.
a’ leantainn…
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 50
Cuir fios air ais
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Paramadair
Paramadair air a ghineadh le IP
Luach
Scanout A rèiteachadh Clàr
Clàraich toradh an t-sreath scan
gui_scanouta Air adhart
_ clàraich
dheth
Dè an stòr airson cuir a-steach uaireadair?
gui_scanouta _register_cloc k
Clock0 Clock1 Clock2
Dè an stòr airson cuir a-steach soilleir asyncronach?
gui_scanouta _register_aclr
GUN ACLR0 ACLR1
Dè an stòr airson cuir a-steach soilleir sioncronaich?
gui_scanouta _register_sclr
GUN SCLR0 SCLR1
8.6.4. Tab Preadder
Clàr 33. Preadder Tab
Paramadair
Paramadair air a ghineadh le IP
Luach
Tagh modh preadder
preadder_mo de
SIMPLE, COEF, INput, SQUARE, CONSTANT
Luach bunaiteach
Tuairisgeul
Tagh cuir a-steach iomadachaidh gus bus cuir a-steach dataa a chleachdadh mar stòr an iomadachaidh. Tagh cuir a-steach slabhraidh Scan gus bus cuir a-steach scanin a chleachdadh mar stòr an iomadachaidh agus comas a thoirt don bhus toraidh scan. Tha am paramadair seo ri fhaighinn nuair a thaghas tu 2, 3 no 4 airson Dè an àireamh de luchd-iomadachaidh a th’ ann? paramadair.
Off Clock0 GUN GUN
Tagh an roghainn seo gus clàr toraidh a chomasachadh airson bus toraidh scanouta.
Feumaidh tu cuir a-steach slabhraidh Scan a thaghadh airson Dè an cuir a-steach A den iomadachaidh ceangailte ris? paramadair gus an roghainn seo a chomasachadh.
Tagh Clock0, Clock1 no Clock2 gus an comharra cloc cuir a-steach clàr a chomasachadh agus a shònrachadh airson bus toraidh scanouta.
Feumaidh tu toradh Clàr na paramadair slabhraidh sgan a thionndadh gus an roghainn seo a chomasachadh.
A’ sònrachadh stòr soilleir asyncronach a’ chlàr airson a’ bhus toraidh scanouta.
Feumaidh tu toradh Clàr na paramadair slabhraidh sgan a thionndadh gus an roghainn seo a chomasachadh.
A’ sònrachadh stòr soilleir sioncronaich a’ chlàir airson a’ bhus toraidh scanouta.
Feumaidh tu toradh Clàr den pharameter slabhraidh scan a thaghadh gus an roghainn seo a chomasachadh.
Luach bunaiteach
Sìmplidh
Tuairisgeul
Sònraich am modh obrachaidh airson modal creamhadair. SIMPLE: Bidh am modh seo a’ dol seachad air an preader. Is e seo am modh bunaiteach. COEF: Bidh am modh seo a’ cleachdadh toradh a’ bhus cuir a-steach preadder agus coefsel mar chuir a-steach don iomadachaidh. INPUT: Bidh am modh seo a’ cleachdadh toradh a’ bhus preadder agus datac a-steach mar chuir a-steach don iomadachaidh. SQUARE: Bidh am modh seo a’ cleachdadh toradh a’ chreachainn mar an dà chuid cuir a-steach an iomadachaidh.
a’ leantainn…
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 51
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Paramadair
Paramadair air a ghineadh le IP
Luach
Tagh stiùireadh preadder
gui_preadder ADD,
_stiùireadh
SUB
Dè cho farsaing ‘s a bu chòir na busaichean cuir a-steach C width_c a bhith?
1-256
Configuration Clàr Inntrigidh Dàta C
Clàraich a-steach dàta
gui_datac_inp Air adhart
ut_clàrachadh
dheth
Dè an stòr airson cuir a-steach uaireadair?
gui_datac_inp ut_register_cl ock
Clock0 Clock1 Clock2
Dè an stòr airson cuir a-steach soilleir asyncronach?
gui_datac_inp ut_register_a clr
GUN ACLR0 ACLR1
Dè an stòr airson cuir a-steach soilleir sioncronaich?
gui_datac_inp ut_register_sc lr
GUN SCLR0 SCLR1
Co-èifeachdan
Dè cho farsaing 's a bu chòir leud a' chòta a bhith?
leud_coef
1-27
Rèiteachadh Clàr Coef
Clàraich an cuir a-steach coefsel
gui_coef_regi Air adhart
ster
dheth
Dè an stòr airson cuir a-steach uaireadair?
gui_coef_regi ster_clock
Clock0 Clock1 Clock2
Luach bunaiteach
ADD
16
Tuairisgeul
CONSTANT: Bidh am modh seo a’ cleachdadh bus cuir a-steach dataa le preadder seachad air agus bus cuir a-steach coefsel mar chuir a-steach don iomadachaidh.
A’ sònrachadh obrachadh a’ chreachadair. Gus am paramadair seo a chomasachadh, tagh na leanas airson Tagh modh creamhadair: · COEF · INPUT · SQUARE or · CONSTANT
Sònraichidh seo an àireamh de bhuillean airson bus cuir a-steach C. Feumaidh tu INPUT a thaghadh airson modh Preadder Tagh gus am paramadair seo a chomasachadh.
Air Clock0 NO SEALLADH DE NEAMH
Tagh an roghainn seo gus clàr cuir a-steach a chomasachadh airson bus cuir a-steach datac. Feumaidh tu INPUT a shuidheachadh gus paramadair modh preadder a thaghadh gus an roghainn seo a chomasachadh.
Tagh Clock0, Clock1 no Clock2 gus an comharra cloc cuir a-steach airson clàr cuir a-steach datac a shònrachadh. Feumaidh tu clàradh a-steach datac a thaghadh gus am paramadair seo a chomasachadh.
A’ sònrachadh an tobar soilleir asyncronach airson a’ chlàr cuir a-steach datac. Feumaidh tu clàradh a-steach datac a thaghadh gus am paramadair seo a chomasachadh.
Sònraich an stòr soilleir sioncronaich airson a’ chlàr cuir a-steach datac. Feumaidh tu clàradh a-steach datac a thaghadh gus am paramadair seo a chomasachadh.
18
Sònraich an àireamh de phìosan airson
bus cur a-steach coefsel.
Feumaidh tu COEF no CONSTANT a thaghadh airson modh preader gus am paramadair seo a chomasachadh.
Air uaireadair 0
Tagh an roghainn seo gus clàr cuir a-steach a chomasachadh airson bus cuir a-steach coefsel. Feumaidh tu COEF no CONSTANT a thaghadh airson modh preader gus am paramadair seo a chomasachadh.
Tagh Clock0, Clock1 no Clock2 gus an comharra cloc cuir a-steach airson clàr cuir a-steach coefsel a shònrachadh. Feumaidh tu clàradh a-steach coefsel gus am paramadair seo a chomasachadh.
a’ leantainn…
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 52
Cuir fios air ais
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Paramadair
Dè an stòr airson cuir a-steach soilleir asyncronach?
Paramadair air a ghineadh le IP
Luach
gui_coef_regi ster_aclr
GUN ACLR0 ACLR1
Dè an stòr airson cuir a-steach soilleir sioncronaich
gui_coef_regi ster_sclr
GUN SCLR0 SCLR1
Coefficient_0 Configuration
coef0_0 gu coef0_7
0x00000 0xFFFFFF
Coefficient_1 Configuration
coef1_0 gu coef1_7
0x00000 0xFFFFFF
Coefficient_2 Configuration
coef2_0 gu coef2_7
0x00000 0xFFFFFF
Coefficient_3 Configuration
coef3_0 gu coef3_7
0x00000 0xFFFFFF
8.6.5. Tab cruinneachaidh
Clàr 34. Accumulator Tab
Paramadair
Paramadair air a ghineadh le IP
Luach
Dèan comas cruinneachaidh?
cruinniche
THA, CHAN EIL
Dè an seòrsa obrachadh cruinneachaidh?
accum_directi ADD,
on
SUB
Luach bunaiteach GUN
CHAN EIL
0x0000000 0
0x0000000 0
0x0000000 0
0x0000000 0
Tuairisgeul
A’ sònrachadh an tobar soilleir asyncronach airson clàr cuir a-steach coefsel. Feumaidh tu clàradh a-steach coefsel gus am paramadair seo a chomasachadh.
A’ sònrachadh an tobar soilleir sioncronaich airson clàr cuir a-steach coefsel. Feumaidh tu clàradh a-steach coefsel gus am paramadair seo a chomasachadh.
Sònraich na luachan co-èifeachd airson a' chiad iomadachaidh seo. Feumaidh an àireamh de phìosan a bhith mar a tha air a shònrachadh ann an Dè cho farsaing 'sa bu chòir leud a' chof a bhith? paramadair. Feumaidh tu COEF no CONSTANT a thaghadh airson modh preader gus am paramadair seo a chomasachadh.
Sònraich na luachan co-èifeachd airson an dàrna iomadachaidh seo. Feumaidh an àireamh de phìosan a bhith mar a tha air a shònrachadh ann an Dè cho farsaing 'sa bu chòir leud a' chof a bhith? paramadair. Feumaidh tu COEF no CONSTANT a thaghadh airson modh preader gus am paramadair seo a chomasachadh.
Sònraich na luachan co-èifeachd airson an treas iomadachaidh seo. Feumaidh an àireamh de phìosan a bhith mar a tha air a shònrachadh ann an Dè cho farsaing 'sa bu chòir leud a' chof a bhith? paramadair. Feumaidh tu COEF no CONSTANT a thaghadh airson modh preader gus am paramadair seo a chomasachadh.
Sònraich na luachan co-èifeachd airson a’ cheathramh iomadachaidh seo. Feumaidh an àireamh de phìosan a bhith mar a tha air a shònrachadh ann an Dè cho farsaing 'sa bu chòir leud a' chof a bhith? paramadair. Feumaidh tu COEF no CONSTANT a thaghadh airson modh preader gus am paramadair seo a chomasachadh.
Luach bunaiteach NO
ADD
Tuairisgeul
Tagh THA gus an neach-cruinneachaidh a chomasachadh. Feumaidh tu taghadh Clàr toradh aonad nathair nuair a bhios tu a’ cleachdadh feart cruinneachaidh.
Sònraich obrachadh a’ chruinneachaidh: · ADD airson obrachadh cur-ris · SUB airson obrachadh toirt air falbh. Feumaidh tu THA a thaghadh airson Dèan comas air accumulator? paramadair gus an roghainn seo a chomasachadh.
a’ leantainn…
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 53
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Paramadair
Preload Constant Dèan comas air seasmhach ro-luchdachadh
Paramadair air a ghineadh le IP
Luach
gui_ena_prelo Air adhart
ad_const
dheth
Cò ris a tha cuir a-steach port cruinneachaidh ceangailte?
gui_accumula ACCUM_SLOAD, te_port_select SLOAD_ACCUM
Tagh luach airson preload loadconst_val 0 - 64
seasmhach
ue
Dè an stòr airson cuir a-steach uaireadair?
gui_accum_sl oad_register_ cloc
Clock0 Clock1 Clock2
Dè an stòr airson cuir a-steach soilleir asyncronach?
gui_accum_sl oad_register_ aclr
GUN ACLR0 ACLR1
Dè an stòr airson cuir a-steach soilleir sioncronaich?
gui_accum_sl oad_register_ sclr
GUN SCLR0 SCLR1
Dèan comas air inneal-cruinneachaidh dùbailte
gui_double_a Air adhart
ccum
dheth
Luach bunaiteach
Tuairisgeul
dheth
Cuir an comas accum_sload no
comharran sload_accum agus cuir a-steach clàr
gus an cuir a-steach don fhaidhle a thaghadh gu dinamach
càrnadh.
Nuair a tha accum_sload ìosal no sload_accum, thèid toradh an iomadachaidh a thoirt a-steach don neach-cruinneachaidh.
Nuair a tha accum_sload àrd no sload_accum, thèid seasmhach ro-luchdachadh a shònrachadh don neach-cleachdaidh a thoirt a-steach don neach-cruinneachaidh.
Feumaidh tu THA a thaghadh airson Dèan comas air accumulator? paramadair gus an roghainn seo a chomasachadh.
ACCUM_SL OAD
Sònraichidh seo giùlan comharra accum_sload/sload_accum.
ACCUM_SLOAD: Siubhail accum_sload ìosal gus an toradh iomadachaidh a luchdachadh chun neach-cruinneachaidh.
SLOAD_ACCUM: Siubhail sload_accum high gus an toradh iomadachaidh a luchdachadh dhan neach-cruinneachaidh.
Feumaidh tu roghainn Dèan comas air ro-luchdachadh seasmhach gus am paramadair seo a chomasachadh.
64
Sònraich an luach seasmhach ro-shuidhichte.
Faodaidh an luach seo a bhith 2N far a bheil N na luach seasmhach ro-shuidhichte.
Nuair a tha N = 64, tha e a’ riochdachadh neoni seasmhach.
Feumaidh tu roghainn Dèan comas air ro-luchdachadh seasmhach gus am paramadair seo a chomasachadh.
Cloc0
Tagh Clock0 , Clock1 no Clock2 gus an comharra cloc cuir a-steach airson clàr accum_sload/sload_accum a shònrachadh.
Feumaidh tu roghainn Dèan comas air ro-luchdachadh seasmhach gus am paramadair seo a chomasachadh.
CHAN EIL
Sònraich an tobar soilleir asyncronach airson a’ chlàr accum_sload/sload_accum.
Feumaidh tu roghainn Dèan comas air ro-luchdachadh seasmhach gus am paramadair seo a chomasachadh.
CHAN EIL
Sònraich an stòr soilleir sioncronaich airson a’ chlàr accum_sload/sload_accum.
Feumaidh tu roghainn Dèan comas air ro-luchdachadh seasmhach gus am paramadair seo a chomasachadh.
dheth
A’ comasachadh an clàr cruinneachaidh dùbailte.
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 54
Cuir fios air ais
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
8.6.6. Tab Systolic/Chainout
Clàr 35. Systolic/Chainout Adder Tab
Paramadair Dèan comas air slabhraidh a-muigh
Paramadair air a ghineadh le IP
Luach
chainout_cuir THA,
er
CHAN EIL
Dè an seòrsa gnìomh slabhraidh a th’ ann?
chainout_ cuir ADD,
er_stiùireadh
SUB
Cuir an comas cuir a-steach `negate' airson nathair slabhraidh?
Port_àicheil
PORT_USED, PORT_UNUSED
Clàradh a-steach `negate'? negate_regist er
Gun chlàradh, CLOC0, CLOC1, CLOC2, CLOC3
Dè an stòr airson cuir a-steach soilleir asyncronach?
àicheadh_aclr
GUN ACLR0 ACLR1
Dè an stòr airson cuir a-steach soilleir sioncronaich?
àicheadh_sclr
GUN SCLR0 SCLR1
Moill Systolic
Dèan comas air clàran dàil systolic
gui_systolic_d Air adhart
elai
dheth
Dè an stòr airson cuir a-steach uaireadair?
gui_systolic_d CLOCK0,
elay_cloc
CLOC1,
Luach bunaiteach
CHAN EIL
Tuairisgeul
Tagh THA gus modal cuir-slabhraidh a chomasachadh.
ADD
Sònraichidh seo obrachadh an t-slabhraidh-nathrach.
Airson obrachadh toirt-air-falbh, feumar SIGNED a thaghadh airson Dè an cruth riochdachaidh a th’ ann airson cuir a-steach Iomadaichean A? agus Dè an cruth riochdachaidh a th’ ann airson cuir a-steach Iomadaichean B? anns an Multipliers Tab.
PORT_UN CLEACHDADH
Tagh PORT_USED gus comharra cuir a-steach àicheadh a chomasachadh.
Tha am paramadair seo mì-dhligheach nuair a tha nathair-slabhraidh à comas.
UNREGIST ERED
Gus an clàr cuir a-steach a chomasachadh airson comharra cuir a-steach negate agus sònrachadh an comharra cloc cuir a-steach airson clàr negate.
Tagh UNREGISTERED mura h-eil feum air a’ chlàr cuir a-steach àicheil
Tha am paramadair seo neo-dhligheach nuair a thaghas tu:
· CHAN EIL airson Dèan comas air slabhraidh-slabhraidh no
· PORT_UNUSED airson Cuir an comas cuir a-steach ‘negate’ airson nathair slabhraidh? paramadair no
CHAN EIL
A’ sònrachadh an tobar soilleir asyncronach airson a’ chlàr àicheil.
Tha am paramadair seo neo-dhligheach nuair a thaghas tu:
· CHAN EIL airson Dèan comas air slabhraidh-slabhraidh no
· PORT_UNUSED airson Cuir an comas cuir a-steach ‘negate’ airson nathair slabhraidh? paramadair no
CHAN EIL
Sònraichidh seo an tobar soilleir sioncronaich airson a’ chlàr negate.
Tha am paramadair seo neo-dhligheach nuair a thaghas tu:
· CHAN EIL airson Dèan comas air slabhraidh-slabhraidh no
· PORT_UNUSED airson Cuir an comas cuir a-steach ‘negate’ airson nathair slabhraidh? paramadair no
Off CLOC0
Tagh an roghainn seo gus am modh systolic a chomasachadh. Tha am paramadair seo ri fhaighinn nuair a thaghas tu 2, no 4 airson Dè an àireamh de luchd-iomadachaidh a th’ ann? paramadair. Feumaidh tu toradh Clàr an aonaid nathair-nimhe a chomasachadh gus na clàran dàil systolic a chleachdadh.
Sònraich an comharra cloc cuir a-steach airson clàr dàil systolic.
a’ leantainn…
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 55
8. Intel FPGA Multiply Adder IP Core 683490 | 2020.10.05
Paramadair
Paramadair air a ghineadh le IP
Luach
CLOC2,
Dè an stòr airson cuir a-steach soilleir asyncronach?
gui_systolic_d elay_aclr
GUN ACLR0 ACLR1
Dè an stòr airson cuir a-steach soilleir sioncronaich?
gui_systolic_d elay_sclr
GUN SCLR0 SCLR1
Luach bunaiteach
CHAN EIL
CHAN EIL
Tuairisgeul
Feumaidh tu clàran dàil systolic comasach a thaghadh gus an roghainn seo a chomasachadh.
A’ sònrachadh an tobar soilleir asyncronach airson a’ chlàr dàil systolic. Feumaidh tu clàran dàil systolic comasach a thaghadh gus an roghainn seo a chomasachadh.
A’ sònrachadh an tobar soilleir sioncronaich airson a’ chlàr dàil systolic. Feumaidh tu clàran dàil systolic comasach a thaghadh gus an roghainn seo a chomasachadh.
8.6.7. Tab pìobaireachd
Clàr 36. Pìobaireachd Tab
Rèiteachadh pìoban paramadair
Paramadair air a ghineadh le IP
Luach
A bheil thu airson clàr na loidhne-phìoban a chur ris an in-chur?
gui_pipelining Chan eil, Tha
Luach bunaiteach
Chan eil
Sònraich an
latency
àireamh uaireadair latency
cearcallan
Luach sam bith nas motha na 0
Dè an stòr airson cuir a-steach uaireadair?
gui_input_late ncy_clock
CLOC0, CLOC1, CLOC2
Dè an stòr airson cuir a-steach soilleir asyncronach?
gui_input_late ncy_aclr
GUN ACLR0 ACLR1
Dè an stòr airson cuir a-steach soilleir sioncronaich?
gui_input_late ncy_sclr
GUN SCLR0 SCLR1
CLOC0 NAN AON
Tuairisgeul
Tagh Tha gus ìre a bharrachd de chlàr loidhne-phìoban a chomasachadh gu na comharran cuir a-steach. Feumaidh tu luach nas motha na 0 a shònrachadh airson Feuch an sònraich thu an àireamh de chearcallan gleoc latency paramadair.
A’ sònrachadh an latency a thathar ag iarraidh ann an cearcallan gleoc. Aon ìre de chlàr loidhne-phìoban = 1 latency ann an cearcall cloc. Feumaidh tu THA a thaghadh airson A bheil thu airson clàr na loidhne-phìoban a chur ris an in-chur? gus an roghainn seo a chomasachadh.
Tagh Clock0, Clock1 no Clock2 gus an comharra cloc cuir a-steach clàr na loidhne-phìoban a chomasachadh agus a shònrachadh. Feumaidh tu THA a thaghadh airson A bheil thu airson clàr na loidhne-phìoban a chur ris an in-chur? gus an roghainn seo a chomasachadh.
A 'sònrachadh a' chlàr asyncronous soilleir tùs airson a 'chlàr loidhne-phìoban a bharrachd. Feumaidh tu THA a thaghadh airson A bheil thu airson clàr na loidhne-phìoban a chur ris an in-chur? gus an roghainn seo a chomasachadh.
A 'sònrachadh a' chlàr sioncronaich soilleir tùs airson a 'chlàr loidhne-phìoban a bharrachd. Feumaidh tu THA a thaghadh airson A bheil thu airson clàr na loidhne-phìoban a chur ris an in-chur? gus an roghainn seo a chomasachadh.
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 56
Cuir fios air ais
683490 | 2020.10.05 Cuir fios air ais
9. ALTEMEMMULT (Ioma-iomadachadh Constant Coefficient stèidhichte air cuimhne) IP Core
An aire:
Tha Intel air taic an IP seo a thoirt air falbh ann an Intel Quartus Prime Pro Edition dreach 20.3. Ma tha an cridhe IP anns an dealbhadh agad ag amas air innealan ann an Intel Quartus Prime Pro Edition, faodaidh tu LPM_MULT Intel FPGA IP a chuir an àite an IP no an IP ath-ghinealach agus do dhealbhadh a chuir ri chèile a’ cleachdadh bathar-bog Intel Quartus Prime Standard Edition.
Tha cridhe ALTEMMULT IP air a chleachdadh gus iomadachaidhean stèidhichte air cuimhne a chruthachadh a’ cleachdadh na blocaichean cuimhne onchip a lorgar ann an Intel FPGAn (le blocaichean cuimhne M512, M4K, M9K, agus MLAB). Tha an cridhe IP seo feumail mura h-eil goireasan gu leòr agad gus na h-iomadadairean ann an eileamaidean loidsig (LEs) no goireasan iomadachaidh sònraichte a chuir an gnìomh.
Tha cridhe ALTEMEMMULT IP na ghnìomh sioncronaich a dh’ fheumas gleoc. Bidh cridhe ALTMEMMULT IP a’ cur an gnìomh iomadachaidh leis an gluasad agus an ùine as lugha a tha comasach airson seata sònraichte de pharamadairean agus sònrachaidhean.
Tha am figear a leanas a’ sealltainn na puirt airson cridhe ALTEMEMMULT IP.
Figear 21. Portan ALTEMEMMULT
ALTEMMULT
data_in[] sload_data coeff_in[]
toradh[] result_valid load_done
sload_coeff
uaireadair sclr
inst
Feartan Fiosrachaidh Co-cheangailte air duilleag 71
9.1. Feartan
Tha cridhe ALTEMEMMULT IP a’ tabhann na feartan a leanas: · A’ cruthachadh dìreach iomadachaidhean stèidhichte air cuimhne a’ cleachdadh blocaichean cuimhne air-chip a lorgar ann an
Intel FPGAn · A’ toirt taic do leud dàta 1 pìosan · A’ toirt taic do chruth riochdachadh dàta soidhnichte agus gun ainm · A’ toirt taic do phìoban le latency toraidh stèidhichte
Intel Corporation. Còraichean uile glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh na còrach atharrachaidhean a dhèanamh air toraidhean agus seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.
ISO 9001: 2015 clàraichte
9. ALTEMEMMULT (Ioma-luachadh seasmhach co-èifeachd stèidhichte air cuimhne) IP Core 683490 | 2020.10.05
· A’ stòradh ioma-chuibhreannan ann an cuimhne ruigsinneachd air thuaiream (RAM)
· A’ toirt seachad roghainn an seòrsa bloc RAM a thaghadh
· A’ toirt taic do phuirt inntrigidh soilleir sioncronaich agus smachd luchdan
9.2. Prototype Verilog HDL
Tha am prototype Verilog HDL a leanas suidhichte anns an Verilog Design File (.v) altera_mf.v anns an eda leabhar-seòlaidh synthesis.
modal altmemmult #( paramadair coeff_representation = “SIGNED”, paramadair coefficient0 = “UNUSED”, paramadair data_representation = “SIGNED”, paramadair intended_device_family = “gun chleachdadh”, paramadair max_clock_cycles_per_result = 1, paramadair number_of_coefficients = AU bloc 1, paramadair àireamh_of_coefficients = AU_TO, paramadair total_latency = 1, paramadair width_c = 1, paramadair width_d = 1, paramadair width_r = 1, paramadair width_s = 1, paramadair lpm_type = “altmemmult”, paramadair lpm_hint = “gun chleachdadh”) (cloc uèir cuir a-steach, uèir cuir a-steach [width_c-1: 0] coeff_in, uèir cuir a-steach [width_d-1: 0] data_in, uèir toraidh load_done, uèir toraidh [width_r-1: 0] toradh, toradh uèir toraidh_valid, uèir cuir a-steach sclr, uèir cuir a-steach [width_s-1: 0] sel, cuir a-steach uèir sload_coeff, cuir a-steach uèir sload_data)/* synthesis syn_black_box=1 */; modal deireannach
9.3. Dearbhadh Co-phàirt VHDL
Tha dearbhadh co-phàirt VHDL suidhichte ann an Dealbhadh VHDL File (.vhd) altera_mf_components.vhd anns an leabharlann vhdlaltera_mf eòlaire.
co-phàirt altmemmult coitcheann (coeff_representation:string := “SIGNED”; coefficient0: string := “UNUSED”; data_representation: string := “SIGNED”; intended_device_family: string := “gun chleachdadh”; max_clock_cycles_per_result:àireamh nàdarra :=cocient: := 1; ram_block_type: sreang := “AUTO”; iomlan_latency: leud_c: nàdarra; leud_r: nàdarra; “altmemmult”); port (cloc: ann an std_logic; coeff_in: ann an std_logic_vector (width_c-1 downto 1):= (eile => '1'); data_in: ann an std_logic_vector (width_d-0 downto 0);
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 58
Cuir fios air ais
9. ALTEMEMMULT (Ioma-luachadh seasmhach co-èifeachd stèidhichte air cuimhne) IP Core 683490 | 2020.10.05
load_done: a-mach std_logic; toradh: a-mach std_logic_vector (width_r-1 sìos gu 0); toradh_valid: a-mach std_logic; sclr: ann an std_logic := '0'; sel: ann an std_logic_vector(width_s-1 downto 0):= (eile => '0'); sload_coeff: ann an std_logic := '0'; sload_data: ann an std_logic := '0'); pàirt crìochnachaidh;
9.4. Puirt
Tha na clàran a leanas a’ liostadh na puirt cuir a-steach is toraidh airson cridhe ALTEMEMMULT IP.
Clàr 37. ALTEMEMMULT Puirt a-steach
Ainm Port
A dhìth
Tuairisgeul
uaireadair
Tha
Cuir a-steach cloc chun an iomadachaidh.
coeff_in[]
Chan eil
Port cuir a-steach coefficient airson an iomadachaidh. Tha meud a’ phuirt a-steach an urra ri luach paramadair WIDTH_C.
dàta_ann an[]
Tha
Port cuir a-steach dàta chun an iomadachaidh. Tha meud a’ phuirt a-steach an urra ri luach paramadair WIDTH_D.
sclr
Chan eil
Cuir a-steach soilleir sioncronaich. Mura tèid a chleachdadh, tha an luach bunaiteach gnìomhach àrd.
sel[]
Chan eil
Taghadh coefficient stèidhichte. Tha meud a’ phuirt a-steach an urra ris an WIDTH_S
luach paramadair.
sload_coeff
Chan eil
Port cuir a-steach co-èifeachd luchd sioncronaich. Cuir an luach a tha air a shònrachadh anns an cuir a-steach coeff_in an àite an luach co-èifeachd gnàthaichte taghte.
sload_data
Chan eil
Port cuir a-steach dàta luchd sioncronaich. Comharra a shònraicheas gnìomhachd iomadachaidh ùr agus a chuireas dheth gnìomhachd iomadachaidh sam bith a tha ann mu thràth. Ma tha luach 1 aig a’ pharameter MAX_CLOCK_CYCLES_PER_RESULT, thèid aire a thoirt don phort in-chur sload_data.
Clàr 38. ALTEMEMMULT Puirt Toraidh
Ainm Port
A dhìth
Tuairisgeul
toradh[]
Tha
Port toraidh iomadachaidh. Tha meud a’ phuirt a-steach an urra ri luach paramadair WIDTH_R.
toradh_dligheach
Tha
A’ nochdadh nuair a tha an toradh mar thoradh dligheach air iomadachadh iomlan. Ma tha luach 1 aig a’ pharameter MAX_CLOCK_CYCLES_PER_RESULT, cha chleachdar am port toraidh result_valid.
luchdaich_dean
Chan eil
A’ nochdadh nuair a tha an coefficient ùr air crìoch a chuir air luchdachadh. Tha an comharra load_done ag agairt nuair a bhios co-èifeachd ùr deiseil ri luchdachadh. Mura h-eil an comharra load_done àrd, chan urrainnear luach co-èifeachd sam bith eile a luchdachadh a-steach don chuimhne.
9.5. Paramadairean
Tha an clàr a leanas a’ liostadh nam paramadairean airson cridhe ALTEMEMMULT IP.
Clàr 39 .
WIDTH_D WIDTH_C
Paramadairean ALTEMEMMULT
Ainm Parameter
Seòrsa a dhìth
Tuairisgeul
Slànaighear Tha
Sònraichidh seo leud a’ phuirt data_in[].
Slànaighear Tha
Sònraichidh seo leud a’ phuirt coeff_in[]. a’ leantainn…
Cuir fios air ais
Stiùireadh cleachdaiche Intel FPGA Àireamhachd IP Cores 59
9. ALTEMEMMULT (Ioma-luachadh seasmhach co-èifeachd stèidhichte air cuimhne) IP Core 683490 | 2020.10.05
Ainm paramadair WIDTH_R WIDTH
Sgrìobhainnean/Goireasan
![]() |
Intel FPGA Àireamhachd Integer IP Cores [pdfStiùireadh Cleachdaiche Cores IP Àireamhachd Iomlan FPGA, Cores IP Àireamhachd Integer, Cores IP Àireamhachd, Cores IP |