FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারীর নির্দেশিকা
Intel® Quartus® প্রাইম ডিজাইন স্যুটের জন্য আপডেট করা হয়েছে: 20.3

অনলাইন সংস্করণ প্রতিক্রিয়া পাঠান

UG-01063

আইডি: 683490 সংস্করণ: 2020.10.05

বিষয়বস্তু
বিষয়বস্তু
1. ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর……………………………………………………………….. 5
2. LPM_COUNTER (কাউন্টার) IP কোর……………………………………………………………………………….. 7 2.1. বৈশিষ্ট্য ……………………………………………………………………………………………… 7 2.2। ভেরিলগ এইচডিএল প্রোটোটাইপ……………………………………………………………………………….. ৮ ২.৩. VHDL উপাদান ঘোষণা……………………………………………………………………….৮ ২.৪. VHDL লাইব্রেরি_ব্যবহারের ঘোষণা……………………………………………………………………………… 8 2.3. বন্দর………………………………………………………………………………………………………………..৯ ২.৬। পরামিতি……………………………………………………………………………………………… 8
3. LPM_DIVIDE (ডিভাইডার) ইন্টেল FPGA আইপি কোর………………………………………………………….. 12 3.1. বৈশিষ্ট্য ………………………………………………………………………………………. 12 3.2। ভেরিলগ এইচডিএল প্রোটোটাইপ……………………………………………………………………………… 12 3.3. VHDL উপাদান ঘোষণা……………………………………………………………………….. 13 3.4. ভিএইচডিএল লাইব্রেরি_ব্যবহারের ঘোষণা…………………………………………………………………………. 13 3.5। বন্দর ……………………………………………………………………………………………………… 13 3.6. পরামিতি……………………………………………………………………………………………… ১৪
4. LPM_MULT (মাল্টিপ্লায়ার) আইপি কোর…………………………………………………………………………. 16 4.1। বৈশিষ্ট্য ………………………………………………………………………………………. 16 4.2। ভেরিলগ এইচডিএল প্রোটোটাইপ……………………………………………………………………………… 17 4.3. VHDL উপাদান ঘোষণা……………………………………………………………………….. 17 4.4. ভিএইচডিএল লাইব্রেরি_ব্যবহারের ঘোষণা…………………………………………………………………………. 17 4.5। সংকেত……………………………………………………………………………………………… 18 4.6. Stratix V, Arria V, Cyclone V, এবং Intel Cyclone 10 LP ডিভাইসের জন্য পরামিতি…………… 18 4.6.1. সাধারণ ট্যাব……………………………………………………………………………….১৮ 18. সাধারণ 4.6.2 ট্যাব……………………………………………………………………………… 2 19. পাইপলাইন ট্যাব……………………………………………………………………………… 4.6.3 19. Intel Stratix 4.7, Intel Arria 10, এবং Intel Cyclone 10 GX ডিভাইসের জন্য পরামিতি……….. 10 20. সাধারণ ট্যাব………………………………………………………………………………২০ 4.7.1. সাধারণ 20 ট্যাব……………………………………………………………………………… 4.7.2 2. পাইপলাইনিং………………………………………………………………………………………২১
5. LPM_ADD_SUB (অ্যাডার/বিয়োগকারী)……………………………………………………………………………… 22 5.1. বৈশিষ্ট্য ………………………………………………………………………………………. 22 5.2। ভেরিলগ এইচডিএল প্রোটোটাইপ……………………………………………………………………… 23 5.3. VHDL উপাদান ঘোষণা……………………………………………………………………….. 23 5.4. ভিএইচডিএল লাইব্রেরি_ব্যবহারের ঘোষণা…………………………………………………………………………. 23 5.5। বন্দর……………………………………………………………………………………………… 23 5.6. পরামিতি……………………………………………………………………………………… ২৪
6. LPM_COMPARE (তুলনাকারী)……………………………………………………………………………………… 26 6.1. বৈশিষ্ট্য ………………………………………………………………………………………. 26 6.2। ভেরিলগ এইচডিএল প্রোটোটাইপ……………………………………………………………………………… ২৭ 27. VHDL উপাদান ঘোষণা……………………………………………………………………….. 6.3 27. ভিএইচডিএল লাইব্রেরি_ব্যবহারের ঘোষণা…………………………………………………………………………. 6.4 27। বন্দর ……………………………………………………………………………………………………… ২৭ 6.5. পরামিতি……………………………………………………………………………………… ২৮

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 2

প্রতিক্রিয়া পাঠান

বিষয়বস্তু

7. ALTECC (ত্রুটি সংশোধন কোড: এনকোডার/ডিকোডার) আইপি কোর…………………………………… 30
7.1। ALTECC এনকোডার বৈশিষ্ট্য …………………………………………………………………………..৩১ ৭.২. ভেরিলগ এইচডিএল প্রোটোটাইপ (ALTECC_ENCODER)………………………………………………………। 31 7.2। ভেরিলগ এইচডিএল প্রোটোটাইপ (ALTECC_DECODER)………………………………………………………। 32 7.3। VHDL কম্পোনেন্ট ডিক্লারেশন (ALTECC_ENCODER)……………………………………………… 32 7.4. VHDL কম্পোনেন্ট ডিক্লারেশন (ALTECC_DECODER)……………………………………………… 33 7.5. ভিএইচডিএল লাইব্রেরি_ব্যবহারের ঘোষণা…………………………………………………………………………. 33 7.6। এনকোডার পোর্ট ……………………………………………………………………………………………… 33 7.7. ডিকোডার পোর্ট ……………………………………………………………………………………………… 33 7.8. এনকোডার পরামিতি……………………………………………………………………………………… 34 7.9. ডিকোডার প্যারামিটার ……………………………………………………………………………… ৩৫
8. ইন্টেল এফপিজিএ মাল্টিপ্লাই অ্যাডার আইপি কোর………………………………………………………………। 36
8.1। বৈশিষ্ট্য ………………………………………………………………………………………. 37 8.1.1। প্রি-অ্যাডার………………………………………………………………………………….. 38 8.1.2. সিস্টোলিক বিলম্ব নিবন্ধন………………………………………………………………….. 40 8.1.3. প্রি-লোড কনস্ট্যান্ট……………………………………………………………………………… 43 8.1.4. ডাবল অ্যাকিউমুলেটর……………………………………………………………………… ৪৩
8.2। ভেরিলগ এইচডিএল প্রোটোটাইপ……………………………………………………………………………… 44 8.3. VHDL উপাদান ঘোষণা……………………………………………………………………….. 44 8.4. ভিএইচডিএল লাইব্রেরি_ব্যবহারের ঘোষণা…………………………………………………………………………. 44 8.5। সংকেত……………………………………………………………………………………………… 44 8.6. পরামিতি……………………………………………………………………………………………… 47
8.6.1। সাধারণ ট্যাব……………………………………………………………………………………… 47 8.6.2. অতিরিক্ত মোড ট্যাব………………………………………………………………………….. 47 8.6.3. গুণক ট্যাব……………………………………………………………………………….. 49 8.6.4. প্রিডার ট্যাব………………………………………………………………………. 51 8.6.5। অ্যাকিউমুলেটর ট্যাব………………………………………………………………………….. 53 8.6.6. সিস্টোলিক/চেইনআউট ট্যাব………………………………………………………………। 55 8.6.7। পাইপলাইন ট্যাব……………………………………………………………………………… 56
9. ALTMEMMULT (মেমরি-ভিত্তিক ধ্রুবক সহগ গুণক) আইপি কোর…………………… 57
9.1। বৈশিষ্ট্য ………………………………………………………………………………………. 57 9.2। ভেরিলগ এইচডিএল প্রোটোটাইপ……………………………………………………………………………… ৫৮ ৯.৩. VHDL উপাদান ঘোষণা……………………………………………………………………….. 58 9.3. বন্দর ……………………………………………………………………………………………………… 58 9.4। পরামিতি……………………………………………………………………………………………… ৫৯
10. ALTMULT_ACCUM (গুণ-জমা) আইপি কোর ……………………………………………………… 61
10.1। বৈশিষ্ট্য ……………………………………………………………………………………………….. 62 10.2. ভেরিলগ এইচডিএল প্রোটোটাইপ……………………………………………………………………………………..62 10.3. VHDL উপাদান ঘোষণা……………………………………………………………………… 63 10.4. VHDL লাইব্রেরি_ব্যবহারের ঘোষণা………………………………………………………………………….63 10.5. বন্দর ………………………………………………………………………………………………. 63 10.6। পরামিতি ………………………………………………………………………………………. 64
11. ALTMULT_ADD (মাল্টিপ্লাই-অ্যাডার) আইপি কোর…………………………………………………………..69
11.1। বৈশিষ্ট্য ……………………………………………………………………………………………….. 71 11.2. ভেরিলগ এইচডিএল প্রোটোটাইপ…………………………………………………………………………………….. ৭২ ১১.৩. VHDL উপাদান ঘোষণা……………………………………………………………………… 72 11.3. VHDL লাইব্রেরি_ব্যবহারের ঘোষণা ……………………………………………………………………… 72

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 3

বিষয়বস্তু
11.5। বন্দর ………………………………………………………………………………………………. 72 11.6। পরামিতি ………………………………………………………………………………………. 73
12. ALTMULT_COMPLEX (জটিল গুণক) IP কোর……………………………………………… 86 12.1. জটিল গুণ ………………………………………………………………………। 86 12.2। ক্যানোনিকাল প্রতিনিধিত্ব……………………………………………………………………………… 87 12.3. প্রচলিত প্রতিনিধিত্ব………………………………………………………………. 87 12.4। বৈশিষ্ট্য ……………………………………………………………………………………………….. 88 12.5. ভেরিলগ এইচডিএল প্রোটোটাইপ…………………………………………………………………………………….. ৮৮ ১২.৬. VHDL উপাদান ঘোষণা……………………………………………………………………… 88 12.6. VHDL লাইব্রেরি_ব্যবহারের ঘোষণা ……………………………………………………………………………… 89 12.7. সংকেত………………………………………………………………………………………. 89 12.8। পরামিতি ………………………………………………………………………………………. 89
13. ALTSQRT (Integer Square Root) IP Core………………………………………………………………………92 13.1. বৈশিষ্ট্য ……………………………………………………………………………………………….. 92 13.2. ভেরিলগ এইচডিএল প্রোটোটাইপ……………………………………………………………………………………..৯২ ১৩.৩. VHDL উপাদান ঘোষণা……………………………………………………………………… 92 13.3. VHDL লাইব্রেরি_ব্যবহারের ঘোষণা………………………………………………………………………………93 13.4. বন্দর ………………………………………………………………………………………………. 93 13.5। পরামিতি ………………………………………………………………………………………. 93
14. PARALLEL_ADD (সমান্তরাল অ্যাডার) আইপি কোর………………………………………………………………….. 95 14.1. বৈশিষ্ট্য……………………………………………………………………………………………….95 14.2. ভেরিলগ এইচডিএল প্রোটোটাইপ……………………………………………………………………………………..95 14.3. VHDL উপাদান ঘোষণা……………………………………………………………………… 96 14.4. VHDL লাইব্রেরি_ব্যবহারের ঘোষণা………………………………………………………………………………96 14.5. বন্দর ………………………………………………………………………………………………. 96 14.6। পরামিতি ………………………………………………………………………………………. 97
15. ইন্টিজার অ্যারিথমেটিক আইপি কোর ইউজার গাইড ডকুমেন্ট আর্কাইভস………………………………………98
16. ইন্টেল এফপিজিএ পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী গাইডের জন্য নথি সংশোধন ইতিহাস…. 99

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 4

প্রতিক্রিয়া পাঠান

683490 | 2020.10.05 প্রতিক্রিয়া পাঠান

1. ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর

আপনি আপনার ডিজাইনে গাণিতিক ক্রিয়াকলাপ সম্পাদন করতে Intel® FPGA পূর্ণসংখ্যা আইপি কোর ব্যবহার করতে পারেন।

এই ফাংশনগুলি আপনার নিজস্ব ফাংশন কোডিং করার চেয়ে আরও দক্ষ যুক্তি সংশ্লেষণ এবং ডিভাইস বাস্তবায়ন অফার করে। আপনি আপনার ডিজাইনের প্রয়োজনীয়তা মিটমাট করার জন্য আইপি কোর কাস্টমাইজ করতে পারেন।

ইন্টেল পূর্ণসংখ্যার গাণিতিক আইপি কোরগুলি নিম্নলিখিত দুটি বিভাগে বিভক্ত: · প্যারামিটারাইজড মডিউলের লাইব্রেরি (এলপিএম) আইপি কোর · ইন্টেল-নির্দিষ্ট (ALT) আইপি কোর

নিম্নলিখিত সারণীটি পূর্ণসংখ্যার গাণিতিক আইপি কোরগুলির তালিকা করে।

টেবিল 1।

আইপি কোরের তালিকা

আইপি কোর

এলপিএম আইপি কোর

LPM_COUNTER

LPM_DIVIDE

LPM_MULT

LPM_ADD_SUB
LPM_COMPARE
ইন্টেল-নির্দিষ্ট (ALT) আইপি কোর ALTECC

ফাংশন শেষview কাউন্টার ডিভাইডার গুণক
যোজক বা বিয়োগকারী তুলনাকারী
ECC এনকোডার/ডিকোডার

সমর্থিত ডিভাইস
Arria® II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone® IV E, সাইক্লোন IV GX, সাইক্লোন V, ইন্টেল সাইক্লোন 10 LP,
ইন্টেল সাইক্লোন 10 GX, MAX® II, MAX V, MAX 10, Stratix® IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, সাইক্লোন IV GX,
ঘূর্ণিঝড় V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, সাইক্লোন IV GX,
ঘূর্ণিঝড় V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX 10, Stratix IV, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, সাইক্লোন IV E, সাইক্লোন IV GX, সাইক্লোন V, ইন্টেল সাইক্লোন 10 LP, MAX 10, MAX
II, MAX V, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, সাইক্লোন IV E, সাইক্লোন IV GX, সাইক্লোন V, ইন্টেল সাইক্লোন 10 LP, MAX 10, MAX
II, MAX V, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, সাইক্লোন IV GX,
ঘূর্ণিঝড় V,Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, Stratix IV, Stratix V অব্যাহত...

ইন্টেল কর্পোরেশন। সমস্ত অধিকার সংরক্ষিত. ইন্টেল, ইন্টেল লোগো এবং অন্যান্য ইন্টেল চিহ্নগুলি হল ইন্টেল কর্পোরেশন বা এর সহযোগী সংস্থাগুলির ট্রেডমার্ক৷ ইন্টেল তার এফপিজিএ এবং সেমিকন্ডাক্টর পণ্যগুলির কার্যকারিতাকে ইন্টেলের স্ট্যান্ডার্ড ওয়ারেন্টি অনুসারে বর্তমান স্পেসিফিকেশনের জন্য ওয়ারেন্টি দেয়, তবে নোটিশ ছাড়াই যে কোনও সময় যে কোনও পণ্য এবং পরিষেবাতে পরিবর্তন করার অধিকার সংরক্ষণ করে। ইন্টেল এখানে বর্ণিত কোনো তথ্য, পণ্য, বা পরিষেবার প্রয়োগ বা ব্যবহারের ফলে উদ্ভূত কোনো দায় বা দায়ভার গ্রহণ করে না, যা Intel দ্বারা লিখিতভাবে স্পষ্টভাবে সম্মত হয়েছে। Intel গ্রাহকদের কোনো প্রকাশিত তথ্যের উপর নির্ভর করার আগে এবং পণ্য বা পরিষেবার জন্য অর্ডার দেওয়ার আগে ডিভাইসের স্পেসিফিকেশনের সর্বশেষ সংস্করণ পেতে পরামর্শ দেওয়া হয়। *অন্যান্য নাম এবং ব্র্যান্ড অন্যদের সম্পত্তি হিসাবে দাবি করা যেতে পারে।

ISO 9001:2015 নিবন্ধিত

1. ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর 683490 | 2020.10.05

আইপি কোর ইন্টেল এফপিজিএ মাল্টিপ্লাই অ্যাডার বা ALTERA_MULT_ADD ALTMEMMULT
ALTMULT_ACCUM ALTMULT_ADD ALTMULT_COMPLEX
ALTSQRT
PARALLEL_ADD

ফাংশন শেষview গুণক-যোগক
মেমরি-ভিত্তিক ধ্রুবক সহগ গুণক
গুণক-সঞ্চয়কারী গুণক-যোগক
জটিল গুণক
পূর্ণসংখ্যা বর্গ-মূল
সমান্তরাল যোগকারী

সমর্থিত ডিভাইস
Arria V, Stratix V, Cyclone V, Intel Stratix 10, Intel Arria 10, Intel Cyclone
10 GX
Arria II GX, Arria II GZ, Arria V, Intel Arria 10 (Intel Quartus® Prime Standard Edition), Cyclone IV E, Cyclone IV GX, সাইক্লোন V, Intel
ঘূর্ণিঝড় 10 LP, MAX II, MAX V, MAX 10, Stratix IV, Stratix V
Arria II GX, Arria II GZ, সাইক্লোন IV E, সাইক্লোন IV GX, Intel Cyclone 10 LP, MAX 10, MAX II, MAX V, Stratix IV
Arria II GX, Arria II GZ, সাইক্লোন IV E, সাইক্লোন IV GX, ইন্টেল সাইক্লোন 10 LP, MAX 10, MAX II, MAX V, স্ট্র্যাটিক্স IV
Arria II GX, Arria II GZ, Intel Arria 10, Arria V, Arria V GZ, সাইক্লোন IV E, সাইক্লোন IV GX, সাইক্লোন V, ইন্টেল
সাইক্লোন 10 GX, Intel Cyclone 10 LP, MAX 10, Stratix V, Intel Stratix 10
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, সাইক্লোন IV GX,
ঘূর্ণিঝড় V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, Stratix IV, Stratix V
Arria II GX, Arria II GZ, Arria V, Intel Arria 10, Cyclone IV E, সাইক্লোন IV GX,
ঘূর্ণিঝড় V, Intel Cyclone 10 LP, Intel Cyclone 10 GX, MAX II, MAX V, MAX
10, Stratix IV, Stratix V

সম্পর্কিত তথ্য
· ইন্টেল এফপিজিএ এবং প্রোগ্রামেবল ডিভাইস রিলিজ নোট
· ইন্টেল এফপিজিএ আইপি কোরের পরিচিতি ইন্টেল এফপিজিএ আইপি কোর সম্পর্কে আরও তথ্য প্রদান করে।
· ফ্লোটিং-পয়েন্ট আইপি কোর ব্যবহারকারী নির্দেশিকা ইন্টেল এফপিজিএ ফ্লোটিং-পয়েন্ট আইপি কোর সম্পর্কে আরও তথ্য প্রদান করে।
· ইন্টেল এফপিজিএ আইপি কোরের পরিচিতি সমস্ত ইন্টেল এফপিজিএ আইপি কোর সম্পর্কে সাধারণ তথ্য প্রদান করে, যার মধ্যে প্যারামিটারাইজিং, জেনারেট করা, আপগ্রেড করা এবং আইপি কোর অনুকরণ করা।
· সংস্করণ-স্বাধীন আইপি এবং Qsys সিমুলেশন স্ক্রিপ্ট তৈরি করা সিমুলেশন স্ক্রিপ্ট তৈরি করুন যাতে সফ্টওয়্যার বা আইপি সংস্করণ আপগ্রেডের জন্য ম্যানুয়াল আপডেটের প্রয়োজন হয় না।
· আপনার প্রকল্প এবং আইপির দক্ষ পরিচালনা এবং বহনযোগ্যতার জন্য প্রকল্প পরিচালনার সর্বোত্তম অনুশীলন নির্দেশিকা files.
· পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারীর নির্দেশিকা নথি সংরক্ষণাগার 98 পৃষ্ঠায় পূর্ণসংখ্যার গাণিতিক আইপি কোরগুলির পূর্ববর্তী সংস্করণগুলির জন্য ব্যবহারকারীর নির্দেশিকাগুলির একটি তালিকা প্রদান করে৷

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 6

প্রতিক্রিয়া পাঠান

683490 | 2020.10.05 প্রতিক্রিয়া পাঠান

2. LPM_COUNTER (কাউন্টার) আইপি কোর

চিত্র 1।

LPM_COUNTER IP কোর হল একটি বাইনারি কাউন্টার যা 256 বিট পর্যন্ত চওড়া আউটপুট সহ আপ কাউন্টার, ডাউন কাউন্টার এবং আপ বা ডাউন কাউন্টার তৈরি করে।

নিম্নলিখিত চিত্রটি LPM_COUNTER আইপি কোরের জন্য পোর্টগুলি দেখায়৷

LPM_COUNTER পোর্ট

LPM_COUNTER

ssclr স্লোড sset ডেটা[]

প্রশ্ন[]

উপর নিচ

cout

aclr aload সম্পদ

clk_en cnt_en cin
inst

2.1. বৈশিষ্ট্য
LPM_COUNTER আইপি কোর নিম্নলিখিত বৈশিষ্ট্যগুলি অফার করে: · আপ, ডাউন, এবং আপ/ডাউন কাউন্টার তৈরি করে · নিম্নলিখিত কাউন্টার প্রকারগুলি তৈরি করে:
— প্লেইন বাইনারি- শূন্য থেকে শুরু হওয়া কাউন্টার বৃদ্ধি বা 255 থেকে শুরু হওয়া হ্রাস
— মডুলাস- ব্যবহারকারীর দ্বারা নির্দিষ্ট করা মডুলাস মান থেকে কাউন্টার বৃদ্ধি বা হ্রাস এবং পুনরাবৃত্তি
· ঐচ্ছিক সিঙ্ক্রোনাস ক্লিয়ার, লোড এবং সেট ইনপুট পোর্ট সমর্থন করে · ঐচ্ছিক অ্যাসিঙ্ক্রোনাস ক্লিয়ার, লোড এবং সেট ইনপুট পোর্ট সমর্থন করে · ঐচ্ছিক গণনা সক্ষম এবং ঘড়ি সক্ষম ইনপুট পোর্ট সমর্থন করে · ঐচ্ছিক বহন এবং বহন-আউট পোর্ট সমর্থন করে

ইন্টেল কর্পোরেশন। সমস্ত অধিকার সংরক্ষিত. ইন্টেল, ইন্টেল লোগো এবং অন্যান্য ইন্টেল চিহ্নগুলি হল ইন্টেল কর্পোরেশন বা এর সহযোগী সংস্থাগুলির ট্রেডমার্ক৷ ইন্টেল তার এফপিজিএ এবং সেমিকন্ডাক্টর পণ্যগুলির কার্যকারিতাকে ইন্টেলের স্ট্যান্ডার্ড ওয়ারেন্টি অনুসারে বর্তমান স্পেসিফিকেশনের জন্য ওয়ারেন্টি দেয়, তবে নোটিশ ছাড়াই যে কোনও সময় যে কোনও পণ্য এবং পরিষেবাতে পরিবর্তন করার অধিকার সংরক্ষণ করে। ইন্টেল এখানে বর্ণিত কোনো তথ্য, পণ্য, বা পরিষেবার প্রয়োগ বা ব্যবহারের ফলে উদ্ভূত কোনো দায় বা দায়ভার গ্রহণ করে না, যা Intel দ্বারা লিখিতভাবে স্পষ্টভাবে সম্মত হয়েছে। Intel গ্রাহকদের কোনো প্রকাশিত তথ্যের উপর নির্ভর করার আগে এবং পণ্য বা পরিষেবার জন্য অর্ডার দেওয়ার আগে ডিভাইসের স্পেসিফিকেশনের সর্বশেষ সংস্করণ পেতে পরামর্শ দেওয়া হয়। *অন্যান্য নাম এবং ব্র্যান্ড অন্যদের সম্পত্তি হিসাবে দাবি করা যেতে পারে।

ISO 9001:2015 নিবন্ধিত

2. LPM_COUNTER (কাউন্টার) আইপি কোর
683490 | 2020.10.05
2.2। ভেরিলগ এইচডিএল প্রোটোটাইপ
নিম্নলিখিত ভেরিলগ এইচডিএল প্রোটোটাইপটি ভেরিলগ ডিজাইনে অবস্থিত File (.v) lpm.v মধ্যে edasynthesis ডিরেক্টরি।
মডিউল lpm_counter ( q, data, clock, cin, cout, clk_en, cnt_en, আপডাউন, aset, aclr, aload, sset, sclr, sload, eq); প্যারামিটার lpm_type = "lpm_counter"; প্যারামিটার lpm_width = 1; প্যারামিটার lpm_modulus = 0; প্যারামিটার lpm_direction = "অব্যবহৃত"; প্যারামিটার lpm_value = "অব্যবহৃত"; প্যারামিটার lpm_svalue = "অব্যবহৃত"; প্যারামিটার lpm_pvalue = "অব্যবহৃত"; প্যারামিটার lpm_port_updown = "PORT_CONNECTIVITY"; প্যারামিটার lpm_hint = "অব্যবহৃত"; আউটপুট [lpm_width-1:0] q; আউটপুট cout; আউটপুট [১৫:০] eq; ইনপুট cin; ইনপুট [lpm_width-15:0] ডেটা; ইনপুট ঘড়ি, clk_en, cnt_en, আপডাউন; ইনপুট সম্পদ, aclr, aload; ইনপুট sset, sclr, স্লোড; endmodule
2.3। VHDL উপাদান ঘোষণা
ভিএইচডিএল কম্পোনেন্ট ডিক্লারেশনটি ভিএইচডিএল ডিজাইনে অবস্থিত File (.vhd) LPM_PACK.vhd এর মধ্যে librariesvhdllpm ডিরেক্টরি।
কম্পোনেন্ট LPM_COUNTER জেনেরিক ( LPM_WIDTH : প্রাকৃতিক; LPM_MODULUS : প্রাকৃতিক := 0; LPM_DIRECTION : স্ট্রিং := "অব্যবহৃত"; LPM_AVALUE : স্ট্রিং := "অব্যবহৃত"; LPM_SVALUE : স্ট্রিং := "অব্যবহৃত"; LPM_SVALUE : স্ট্রিং := "অব্যবহৃত"; LP_CONPORTITY" : UPDPORTNEIV ; LPM_PVALUE : স্ট্রিং := "অব্যবহৃত"; LPM_TYPE : স্ট্রিং := L_COUNTER; LPM_HINT : স্ট্রিং := "অব্যবহৃত"); পোর্ট (ডেটা: std_logic_vector এ(LPM_WIDTH-1 ডাউন টু 0):= (অন্যরা =>
'0'); ঘড়ি : std_logic ; CLK_EN : std_logic এ := '1'; CNT_EN : std_logic := '1'; আপডাউন : std_logic এ := '1'; স্লোড: std_logic এ := '0'; SSET : std_logic এ := '0'; SCLR : std_logic := '0'; ALOAD: in std_logic := '0'; ASET : std_logic এ := '0'; ACLR : std_logic := '0'; CIN : std_logic := '1'; COUT: out std_logic := '0'; প্রশ্ন: std_logic_vector (LPM_WIDTH-1 ডাউন টু 0); EQ : std_logic_vector out(15 down to 0));
শেষ উপাদান;

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 8

প্রতিক্রিয়া পাঠান

2. LPM_COUNTER (কাউন্টার) আইপি কোর 683490 | 2020.10.05

2.4। VHDL LIBRARY_USE ঘোষণা
আপনি যদি VHDL কম্পোনেন্ট ঘোষণা ব্যবহার করেন তাহলে VHDL লাইব্রেরি-ব্যবহারের ঘোষণার প্রয়োজন নেই।
লাইব্রেরি এলপিএম; lpm.lpm_components.all ব্যবহার করুন;

2.5. বন্দর

নিম্নলিখিত সারণীগুলি LPM_COUNTER আইপি কোরের জন্য ইনপুট এবং আউটপুট পোর্টগুলির তালিকা করে৷

টেবিল 2।

LPM_COUNTER ইনপুট পোর্ট

পোর্টের নাম

প্রয়োজন

বর্ণনা

তথ্য

না

কাউন্টারে সমান্তরাল ডেটা ইনপুট। ইনপুট পোর্টের আকার LPM_WIDTH প্যারামিটার মানের উপর নির্ভর করে।

ঘড়ি

হ্যাঁ

পজিটিভ-এজ-ট্রিগার করা ঘড়ি ইনপুট।

clk_en

না

ঘড়ি সমস্ত সিঙ্ক্রোনাস ক্রিয়াকলাপ সক্ষম করতে ইনপুট সক্ষম করে। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 1।

cnt_en

না

স্লোড, sset, বা sclr প্রভাবিত না করে কম বলে দাবি করলে গণনা নিষ্ক্রিয় করতে কাউন্ট সক্ষম ইনপুট। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 1।

উপর নিচ

না

গণনার দিক নিয়ন্ত্রণ করে। উচ্চ (1) দাবি করা হলে, গণনার দিকটি উপরে থাকে এবং যখন কম (0) বলে দাবি করা হয়, গণনার দিকটি নিচে থাকে। যদি LPM_DIRECTION প্যারামিটার ব্যবহার করা হয়, আপডাউন পোর্ট সংযুক্ত করা যাবে না। যদি LPM_DIRECTION ব্যবহার না করা হয়, আপডাউন পোর্টটি ঐচ্ছিক৷ যদি বাদ দেওয়া হয়, ডিফল্ট মান উপরে (1)।

cin

না

লো-অর্ডার বিটে ক্যারি-ইন করুন। আপ কাউন্টারের জন্য, সিন ইনপুট আচরণ হয়

cnt_en ইনপুটের আচরণের অনুরূপ। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 1

(ভিসিসি)।

aclr

না

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট। যদি সম্পদ এবং aclr উভয়ই ব্যবহার করা হয় এবং জোর দেওয়া হয়, তাহলে aclr সম্পদকে ওভাররাইড করে। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 0 (অক্ষম)।

সমষ্টি

না

অ্যাসিঙ্ক্রোনাস সেট ইনপুট। q[] আউটপুটগুলিকে সমস্ত 1s হিসাবে বা LPM_AVALUE প্যারামিটার দ্বারা নির্দিষ্ট করা মানকে নির্দিষ্ট করে৷ যদি সম্পদ এবং aclr পোর্ট উভয়ই ব্যবহার করা হয় এবং জোর দেওয়া হয়, তাহলে aclr পোর্টের মান সম্পদ পোর্টের মানকে ওভাররাইড করে। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 0, নিষ্ক্রিয়।

একতি লোড

না

অ্যাসিঙ্ক্রোনাস লোড ইনপুট যা ডেটা ইনপুটের মান সহ কাউন্টারটিকে অ্যাসিঙ্ক্রোনাসভাবে লোড করে। যখন অ্যালোড পোর্ট ব্যবহার করা হয়, তখন ডেটা[] পোর্টটি অবশ্যই সংযুক্ত থাকতে হবে। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 0, নিষ্ক্রিয়।

sclr

না

সিঙ্ক্রোনাস পরিষ্কার ইনপুট যা পরবর্তী সক্রিয় ঘড়ির প্রান্তে কাউন্টারটিকে সাফ করে। যদি sset এবং sclr পোর্ট উভয়ই ব্যবহার করা হয় এবং জোর দেওয়া হয়, তাহলে sclr পোর্টের মান sset পোর্টের মানকে ওভাররাইড করে। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 0, নিষ্ক্রিয়।

sset

না

সিঙ্ক্রোনাস সেট ইনপুট যা পরবর্তী সক্রিয় ঘড়ির প্রান্তে কাউন্টার সেট করে। সমস্ত 1s হিসাবে q আউটপুটগুলির মান বা LPM_SVALUE প্যারামিটার দ্বারা নির্দিষ্ট করা মানকে নির্দিষ্ট করে৷ যদি sset এবং sclr পোর্ট উভয়ই ব্যবহার করা হয় এবং জোর দেওয়া হয়,
sclr পোর্টের মান sset পোর্টের মানকে ওভাররাইড করে। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 0 (অক্ষম)।

স্লোড

না

সিঙ্ক্রোনাস লোড ইনপুট যা পরবর্তী সক্রিয় ঘড়ির প্রান্তে ডেটা[] সহ কাউন্টার লোড করে। যখন স্লোড পোর্ট ব্যবহার করা হয়, তখন ডেটা[] পোর্টটি অবশ্যই সংযুক্ত থাকতে হবে। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 0 (অক্ষম)।

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 9

2. LPM_COUNTER (কাউন্টার) আইপি কোর 683490 | 2020.10.05

টেবিল 3।

LPM_COUNTER আউটপুট পোর্ট

পোর্টের নাম

প্রয়োজন

বর্ণনা

প্রশ্ন[]

না

কাউন্টার থেকে ডেটা আউটপুট। আউটপুট পোর্টের আকার এর উপর নির্ভর করে

LPM_WIDTH প্যারামিটার মান। হয় q[] বা কমপক্ষে একটি eq[15..0] পোর্ট

সংযুক্ত করা আবশ্যক।

eq[15..0]

না

কাউন্টার ডিকোড আউটপুট। eq[15..0] পোর্ট প্যারামিটার এডিটরে অ্যাক্সেসযোগ্য নয় কারণ প্যারামিটারটি শুধুমাত্র AHDL সমর্থন করে।
হয় q[] পোর্ট বা eq[] পোর্ট অবশ্যই সংযুক্ত থাকতে হবে। c eq পোর্ট পর্যন্ত ব্যবহার করা যেতে পারে (0 <= c <= 15)। শুধুমাত্র 16টি সর্বনিম্ন গণনা মান ডিকোড করা হয়। যখন গণনার মান c হয়, তখন eqc আউটপুট উচ্চ বলে দাবি করা হয় (1)। প্রাক্তন জন্যample, যখন গণনা 0 হয়, eq0 = 1, যখন গণনা 1 হয়, eq1 = 1, এবং যখন গণনা 15 হয়, eq 15 = 1। 16 বা তার বেশি গণনার মানগুলির জন্য ডিকোড করা আউটপুট বাহ্যিক ডিকোডিং প্রয়োজন। eq[15..0] আউটপুটগুলি q[] আউটপুটের সাথে অ্যাসিঙ্ক্রোনাস।

cout

না

কাউন্টারের MSB বিটের ক্যারি-আউট পোর্ট। এটি একটি বড় কাউন্টার তৈরি করতে অন্য কাউন্টারের সাথে সংযোগ করতে ব্যবহার করা যেতে পারে।

2.6. পরামিতি

নিম্নলিখিত সারণী LPM_COUNTER আইপি কোরের জন্য পরামিতি তালিকাভুক্ত করে।

টেবিল 4।

LPM_COUNTER প্যারামিটার

প্যারামিটারের নাম

টাইপ

LPM_WIDTH

পূর্ণসংখ্যা

LPM_DIRECTION

স্ট্রিং

LPM_MODULUS LPM_AVALUE

পূর্ণসংখ্যা
পূর্ণসংখ্যা/স্ট্রিং

LPM_SVALUE LPM_HINT

পূর্ণসংখ্যা/স্ট্রিং
স্ট্রিং

LPM_TYPE

স্ট্রিং

প্রয়োজনীয় হ্যাঁ না না না
না না
না

বর্ণনা
ডেটা[] এবং q[] পোর্টের প্রস্থ নির্দিষ্ট করে, যদি সেগুলি ব্যবহার করা হয়।
মান UP, DOWN, এবং অব্যবহৃত। যদি LPM_DIRECTION প্যারামিটার ব্যবহার করা হয়, আপডাউন পোর্ট সংযুক্ত করা যাবে না। যখন আপডাউন পোর্ট সংযুক্ত থাকে না, তখন LPM_DIRECTION প্যারামিটারের ডিফল্ট মান হল UP৷
সর্বাধিক গণনা, প্লাস এক। কাউন্টারের চক্রে অনন্য রাজ্যের সংখ্যা। লোড মান LPM_MODULUS প্যারামিটারের চেয়ে বড় হলে, কাউন্টারের আচরণ নির্দিষ্ট করা হয় না।
ধ্রুবক মান যা লোড হয় যখন সম্পদ উচ্চ দাবি করা হয়। যদি নির্দিষ্ট করা মান এর থেকে বড় বা সমান হয় , পাল্টা আচরণ একটি অনির্ধারিত (X) যুক্তি স্তর, যেখানে LPM_MODULUS হয়, যদি উপস্থিত থাকে, অথবা 2 ^ LPM_WIDTH। Intel সুপারিশ করে যে আপনি AHDL ডিজাইনের জন্য দশমিক সংখ্যা হিসাবে এই মানটি নির্দিষ্ট করুন৷
ধ্রুবক মান যা ঘড়ির পোর্টের ক্রমবর্ধমান প্রান্তে লোড করা হয় যখন sset পোর্ট উচ্চ দাবি করা হয়। Intel সুপারিশ করে যে আপনি AHDL ডিজাইনের জন্য দশমিক সংখ্যা হিসাবে এই মানটি নির্দিষ্ট করুন৷
যখন আপনি একটি VHDL ডিজাইনে প্যারামিটারাইজড মডিউল (LPM) ফাংশনের একটি লাইব্রেরি ইনস্ট্যান্ট করেন File (.vhd), একটি ইন্টেল-নির্দিষ্ট প্যারামিটার নির্দিষ্ট করতে আপনাকে অবশ্যই LPM_HINT প্যারামিটার ব্যবহার করতে হবে। প্রাক্তন জন্যample: LPM_HINT = "CHAIN_SIZE = 8, ONE_INPUT_IS_CONSTANT = হ্যাঁ"
ডিফল্ট মানটি অব্যবহৃত।
VHDL ডিজাইনে প্যারামিটারাইজড মডিউল (LPM) সত্তা নামের লাইব্রেরি সনাক্ত করে files.
অব্যাহত…

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 10

প্রতিক্রিয়া পাঠান

2. LPM_COUNTER (কাউন্টার) আইপি কোর 683490 | 2020.10.05

প্যারামিটার নাম INTENDED_DEVICE_FAMILY CARRY_CNT_EN
LABWIDE_SCLR
LPM_PORT_UPDOWN

String String টাইপ করুন
স্ট্রিং
স্ট্রিং

প্রয়োজনীয় না না
না
না

বর্ণনা
এই প্যারামিটারটি মডেলিং এবং আচরণগত সিমুলেশন উদ্দেশ্যে ব্যবহৃত হয়। এই প্যারামিটারটি মডেলিং এবং আচরণগত সিমুলেশন উদ্দেশ্যে ব্যবহৃত হয়। পরামিতি সম্পাদক এই পরামিতির জন্য মান গণনা করে।
ইন্টেল-নির্দিষ্ট প্যারামিটার। VHDL ডিজাইনে CARRY_CNT_EN প্যারামিটার নির্দিষ্ট করতে আপনাকে অবশ্যই LPM_HINT প্যারামিটার ব্যবহার করতে হবে files মানগুলি স্মার্ট, চালু, বন্ধ এবং অব্যবহৃত। ক্যারি চেইনের মাধ্যমে cnt_en সংকেত প্রচার করতে LPM_COUNTER ফাংশনকে সক্ষম করে। কিছু ক্ষেত্রে, CARRY_CNT_EN প্যারামিটার সেটিং গতিতে সামান্য প্রভাব ফেলতে পারে, তাই আপনি এটি বন্ধ করতে চাইতে পারেন। ডিফল্ট মান হল SMART, যা আকার এবং গতির মধ্যে সেরা ট্রেড-অফ প্রদান করে৷
ইন্টেল-নির্দিষ্ট প্যারামিটার। VHDL ডিজাইনে LABWIDE_SCLR প্যারামিটার নির্দিষ্ট করতে আপনাকে অবশ্যই LPM_HINT প্যারামিটার ব্যবহার করতে হবে files মানগুলি চালু, বন্ধ বা অব্যবহৃত। ডিফল্ট মান চালু আছে। আপনাকে অপ্রচলিত ডিভাইস পরিবারগুলিতে পাওয়া LABwide sclr বৈশিষ্ট্যের ব্যবহার অক্ষম করার অনুমতি দেয়৷ এই বিকল্পটি বন্ধ করলে আংশিকভাবে ভরা LAB গুলি সম্পূর্ণরূপে ব্যবহারের সম্ভাবনা বৃদ্ধি পায় এবং এইভাবে SCLR সম্পূর্ণ LAB-এ প্রযোজ্য না হলে উচ্চতর যুক্তির ঘনত্বের অনুমতি দিতে পারে। এই প্যারামিটারটি পশ্চাদগামী সামঞ্জস্যের জন্য উপলব্ধ, এবং Intel আপনাকে এই প্যারামিটারটি ব্যবহার না করার পরামর্শ দেয়।
আপডাউন ইনপুট পোর্টের ব্যবহার নির্দিষ্ট করে। বাদ দিলে ডিফল্ট মান হল PORT_CONNECTIVITY৷ যখন পোর্টের মান PORT_USED তে সেট করা হয়, তখন পোর্টটিকে ব্যবহৃত হিসাবে ধরা হয়। যখন পোর্টের মান PORT_UNUSED তে সেট করা হয়, তখন পোর্টটিকে অব্যবহৃত হিসাবে গণ্য করা হয়। যখন পোর্টের মান PORT_CONNECTIVITY তে সেট করা হয়, তখন পোর্টের সংযোগ চেক করে পোর্ট ব্যবহার নির্ধারণ করা হয়।

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 11

683490 | 2020.10.05 প্রতিক্রিয়া পাঠান

3. LPM_DIVIDE (ডিভাইডার) ইন্টেল FPGA আইপি কোর

চিত্র 2।

LPM_DIVIDE ইন্টেল এফপিজিএ আইপি কোর একটি ভাগফল এবং অবশিষ্টাংশ তৈরি করতে একটি লব ইনপুট মানকে একটি ডিনোমিনেটর ইনপুট মান দ্বারা ভাগ করার জন্য একটি বিভাজক প্রয়োগ করে।

নিম্নলিখিত চিত্রটি LPM_DIVIDE IP কোরের জন্য পোর্টগুলি দেখায়৷

LPM_DIVIDE পোর্ট

LPM_DIVIDE

সংখ্যা [] denom [] ঘড়ি

ভাগফল[] অবশিষ্ট[]

clken aclr

inst

3.1. বৈশিষ্ট্য
LPM_DIVIDE আইপি কোর নিম্নলিখিত বৈশিষ্ট্যগুলি অফার করে: · একটি বিভাজক তৈরি করে যা একটি লব ইনপুট মানকে একটি ডিনোমিনেটর ইনপুট দ্বারা ভাগ করে
একটি ভাগফল এবং একটি অবশিষ্ট উৎপন্ন করার মান। · 1 বিটের ডেটা প্রস্থ সমর্থন করে। · উভয় অংকের জন্য স্বাক্ষরিত এবং স্বাক্ষরবিহীন ডেটা উপস্থাপনা বিন্যাস সমর্থন করে
এবং হর মান। · এলাকা বা গতি অপ্টিমাইজেশান সমর্থন করে। একটি ইতিবাচক অবশিষ্ট আউটপুট নির্দিষ্ট করার জন্য একটি বিকল্প প্রদান করে। · পাইপলাইনিং কনফিগারযোগ্য আউটপুট লেটেন্সি সমর্থন করে। ঐচ্ছিক অ্যাসিঙ্ক্রোনাস ক্লিয়ার এবং ঘড়ি সক্ষম পোর্ট সমর্থন করে।

3.2। ভেরিলগ এইচডিএল প্রোটোটাইপ
নিম্নলিখিত ভেরিলগ এইচডিএল প্রোটোটাইপটি ভেরিলগ ডিজাইনে অবস্থিত File (.v) lpm.v মধ্যে edasynthesis ডিরেক্টরি।
মডিউল lpm_divide ( ভাগফল, অবশিষ্ট, সংখ্যা, denom, ঘড়ি, clken, aclr); প্যারামিটার lpm_type = "lpm_divide"; প্যারামিটার lpm_widthn = 1; প্যারামিটার lpm_widthd = 1; প্যারামিটার lpm_nrepresentation = "আনসাইনড"; প্যারামিটার lpm_drepresentation = "আনসাইনড"; প্যারামিটার lpm_remainderpositive = "TRUE"; প্যারামিটার lpm_pipeline = 0;

ইন্টেল কর্পোরেশন। সমস্ত অধিকার সংরক্ষিত. ইন্টেল, ইন্টেল লোগো এবং অন্যান্য ইন্টেল চিহ্নগুলি হল ইন্টেল কর্পোরেশন বা এর সহযোগী সংস্থাগুলির ট্রেডমার্ক৷ ইন্টেল তার এফপিজিএ এবং সেমিকন্ডাক্টর পণ্যগুলির কার্যকারিতাকে ইন্টেলের স্ট্যান্ডার্ড ওয়ারেন্টি অনুসারে বর্তমান স্পেসিফিকেশনের জন্য ওয়ারেন্টি দেয়, তবে নোটিশ ছাড়াই যে কোনও সময় যে কোনও পণ্য এবং পরিষেবাতে পরিবর্তন করার অধিকার সংরক্ষণ করে। ইন্টেল এখানে বর্ণিত কোনো তথ্য, পণ্য, বা পরিষেবার প্রয়োগ বা ব্যবহারের ফলে উদ্ভূত কোনো দায় বা দায়ভার গ্রহণ করে না, যা Intel দ্বারা লিখিতভাবে স্পষ্টভাবে সম্মত হয়েছে। Intel গ্রাহকদের কোনো প্রকাশিত তথ্যের উপর নির্ভর করার আগে এবং পণ্য বা পরিষেবার জন্য অর্ডার দেওয়ার আগে ডিভাইসের স্পেসিফিকেশনের সর্বশেষ সংস্করণ পেতে পরামর্শ দেওয়া হয়। *অন্যান্য নাম এবং ব্র্যান্ড অন্যদের সম্পত্তি হিসাবে দাবি করা যেতে পারে।

ISO 9001:2015 নিবন্ধিত

3. LPM_DIVIDE (ডিভাইডার) ইন্টেল FPGA আইপি কোর 683490 | 2020.10.05

প্যারামিটার lpm_hint = "অব্যবহৃত"; ইনপুট ঘড়ি; ইনপুট clken; ইনপুট aclr; ইনপুট [lpm_widthn-1:0] সংখ্যা; ইনপুট [lpm_widthd-1:0] denom; আউটপুট [lpm_widthn-1:0] ভাগফল; আউটপুট [lpm_widthd-1:0] থাকবে; endmodule

3.3। VHDL উপাদান ঘোষণা
ভিএইচডিএল কম্পোনেন্ট ডিক্লারেশনটি ভিএইচডিএল ডিজাইনে অবস্থিত File (.vhd) LPM_PACK.vhd এর মধ্যে librariesvhdllpm ডিরেক্টরি।
উপাদান LPM_DIVIDE জেনেরিক (LPM_WIDTHN : প্রাকৃতিক; LPM_WIDTHD : প্রাকৃতিক;
LPM_NREPRESENTATION : স্ট্রিং := "আনসাইনড"; LPM_DREPRESENTATION : স্ট্রিং := "আনসাইনড"; LPM_PIPELINE : প্রাকৃতিক := 0; LPM_TYPE : স্ট্রিং := L_DIVIDE; LPM_HINT : স্ট্রিং := "অব্যবহৃত"); পোর্ট (সংখ্যা: std_logic_vector এ(LPM_WIDTHN-1 ডাউন টু 0); ডেনম: std_logic_vector এ(LPM_WIDTHD-1 ডাউনটু 0); ACLR: std_logic এ := '0'; CLOCK: in std_logic := '0'; CLKEN : std_logic এ := '1'; পরিমাণ: std_logic_vector আউট(LPM_WIDTHN-1 ডাউন টু 0); বাকি: std_logic_vector(LPM_WIDTHD-1 থেকে 0 পর্যন্ত) শেষ উপাদান;

3.4। VHDL LIBRARY_USE ঘোষণা
আপনি যদি VHDL কম্পোনেন্ট ঘোষণা ব্যবহার করেন তাহলে VHDL লাইব্রেরি-ব্যবহারের ঘোষণার প্রয়োজন নেই।
লাইব্রেরি এলপিএম; lpm.lpm_components.all ব্যবহার করুন;

3.5. বন্দর

নিম্নলিখিত টেবিলগুলি LPM_DIVIDE আইপি কোরের জন্য ইনপুট এবং আউটপুট পোর্টগুলির তালিকা করে৷

টেবিল 5।

LPM_DIVIDE ইনপুট পোর্ট

পোর্টের নাম

প্রয়োজন

সংখ্যা

হ্যাঁ

মূল্য []

হ্যাঁ

বর্ণনা
সংখ্যার ডেটা ইনপুট। ইনপুট পোর্টের আকার LPM_WIDTHN প্যারামিটার মানের উপর নির্ভর করে।
ডিনোমিনেটর ডেটা ইনপুট। ইনপুট পোর্টের আকার LPM_WIDTHD প্যারামিটার মানের উপর নির্ভর করে।
অব্যাহত…

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 13

3. LPM_DIVIDE (ডিভাইডার) ইন্টেল FPGA আইপি কোর 683490 | 2020.10.05

পোর্ট নাম ঘড়ি clken
aclr

প্রয়োজনীয় না না
না

বর্ণনা
পাইপলাইনযুক্ত ব্যবহারের জন্য ঘড়ি ইনপুট। 0 (ডিফল্ট) ব্যতীত LPM_PIPELINE মানগুলির জন্য, ঘড়ির পোর্টটি সক্ষম করা আবশ্যক৷
ঘড়ি পাইপলাইনযুক্ত ব্যবহার সক্ষম করে। যখন ক্লকেন পোর্টটি উচ্চ বলে দাবি করা হয়, তখন বিভাগ অপারেশন সঞ্চালিত হয়। যখন সংকেত কম থাকে, কোন অপারেশন হয় না। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 1।
ঘড়ির ইনপুটে অ্যাসিঙ্ক্রোনাসভাবে সমস্ত '0'-তে পাইপলাইন রিসেট করতে যেকোন সময়ে ব্যবহৃত অ্যাসিঙ্ক্রোনাস ক্লিয়ার পোর্ট।

টেবিল 6।

LPM_DIVIDE আউটপুট পোর্ট

পোর্টের নাম

প্রয়োজন

বর্ণনা

ভাগফল[]

হ্যাঁ

ডেটা আউটপুট। আউটপুট পোর্টের আকার LPM_WIDTHN এর উপর নির্ভর করে

পরামিতি মান।

থাকবে[]

হ্যাঁ

ডেটা আউটপুট। আউটপুট পোর্টের আকার LPM_WIDTHD এর উপর নির্ভর করে

পরামিতি মান।

3.6. পরামিতি

নিম্নলিখিত সারণী LPM_DIVIDE ইন্টেল FPGA আইপি কোরের জন্য পরামিতি তালিকাভুক্ত করে।

প্যারামিটারের নাম

টাইপ

প্রয়োজন

বর্ণনা

LPM_WIDTHN

পূর্ণসংখ্যা

হ্যাঁ

সংখ্যার প্রস্থ নির্দিষ্ট করে[] এবং

ভাগফল[] পোর্ট। মান 1 থেকে 64।

LPM_WIDTHD

পূর্ণসংখ্যা

হ্যাঁ

ডিনোমের প্রস্থ নির্দিষ্ট করে[] এবং

অবশিষ্ট [] পোর্ট. মান 1 থেকে 64।

LPM_NREPRESENTATION LPM_DREPRESENTATION

স্ট্রিং স্ট্রিং

না

লব ইনপুট প্রতিনিধিত্ব স্বাক্ষর.

মানগুলি স্বাক্ষরিত এবং স্বাক্ষরিত নয়৷ কখন এটা

পরামিতি SIGNED, বিভাজক সেট করা আছে

numer[] ইনপুটকে দুই স্বাক্ষরিত হিসাবে ব্যাখ্যা করে

পরিপূরক।

না

হর ইনপুটের সাইন রিপ্রেজেন্টেশন।

মানগুলি স্বাক্ষরিত এবং স্বাক্ষরিত নয়৷ কখন এটা

পরামিতি SIGNED, বিভাজক সেট করা আছে

স্বাক্ষরিত দুই হিসাবে denom[] ইনপুটকে ব্যাখ্যা করে

পরিপূরক।

LPM_TYPE

স্ট্রিং

না

প্যারামিটারাইজডের লাইব্রেরি সনাক্ত করে

VHDL ডিজাইনে মডিউল (LPM) সত্তার নাম

files (.vhd)।

LPM_HINT

স্ট্রিং

না

যখন আপনি একটি লাইব্রেরি instantiate

প্যারামিটারাইজড মডিউল (LPM) ফাংশন a

ভিএইচডিএল ডিজাইন File (.vhd), আপনাকে অবশ্যই ব্যবহার করতে হবে

একটি ইন্টেল নির্দিষ্ট করতে LPM_HINT প্যারামিটার-

নির্দিষ্ট পরামিতি। প্রাক্তন জন্যample: LPM_HINT

= “CHAIN_SIZE = 8,

ONE_INPUT_IS_CONSTANT = হ্যাঁ”

ডিফল্ট মান অব্যবহৃত।

LPM_REMAINDERPOSITIVE

স্ট্রিং

না

ইন্টেল-নির্দিষ্ট প্যারামিটার। আপনি ব্যবহার করতে হবে

LPM_HINT পরামিতি নির্দিষ্ট করতে

LPM_REMAINDERPOSITIVE প্যারামিটার

ভিএইচডিএল ডিজাইন files মান সত্য বা মিথ্যা।

যদি এই প্যারামিটারটি TRUE তে সেট করা থাকে, তাহলে

বাকি[] পোর্টের মান অবশ্যই বেশি হতে হবে

অব্যাহত…

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 14

প্রতিক্রিয়া পাঠান

3. LPM_DIVIDE (ডিভাইডার) ইন্টেল FPGA আইপি কোর 683490 | 2020.10.05

প্যারামিটারের নাম

টাইপ

MAXIMIZE_SPEED

পূর্ণসংখ্যা

LPM_PIPELINE

পূর্ণসংখ্যা

INTENDED_DEVICE_FAMILY SKIP_BITS

স্ট্রিং পূর্ণসংখ্যা

প্রয়োজনীয় নং
না না না

বর্ণনা
শূন্যের চেয়ে বা সমান। যদি এই প্যারামিটারটি TRUE তে সেট করা হয়, তাহলে বাকি[] পোর্টের মান হয় শূন্য, অথবা মানটি একই চিহ্ন, হয় ধনাত্মক বা ঋণাত্মক, সংখ্যা পোর্টের মান হিসাবে। ক্ষেত্রফল কমাতে এবং গতি উন্নত করার জন্য, Intel এই প্যারামিটারটিকে TRUE-এ সেট করার পরামর্শ দেয় অপারেশনগুলিতে যেখানে অবশিষ্টাংশ অবশ্যই ধনাত্মক হতে হবে বা যেখানে অবশিষ্টাংশ গুরুত্বহীন।
ইন্টেল-নির্দিষ্ট প্যারামিটার। VHDL ডিজাইনে MAXIMIZE_SPEED প্যারামিটার নির্দিষ্ট করতে আপনাকে অবশ্যই LPM_HINT প্যারামিটার ব্যবহার করতে হবে files মান হল [0..9]। যদি ব্যবহার করা হয়, ইন্টেল কোয়ার্টাস প্রাইম সফ্টওয়্যারটি গতির জন্য LPM_DIVIDE ফাংশনের একটি নির্দিষ্ট দৃষ্টান্তকে অপ্টিমাইজ করার চেষ্টা করে এবং অপ্টিমাইজেশান টেকনিক লজিক বিকল্পের সেটিং ওভাররাইড করে। যদি MAXIMIZE_SPEED অব্যবহৃত হয়, তাহলে অপ্টিমাইজেশান টেকনিক বিকল্পের মান ব্যবহার করা হয়। MAXIMIZE_SPEED এর মান 6 বা তার বেশি হলে, কম্পাইলার ক্যারি চেইন ব্যবহার করে উচ্চ গতির জন্য LPM_DIVIDE আইপি কোরকে অপ্টিমাইজ করে; মান 5 বা তার কম হলে, কম্পাইলার ক্যারি চেইন ছাড়াই নকশাটি প্রয়োগ করে।
ভাগফল[] এবং অবশিষ্ট [] আউটপুটগুলির সাথে যুক্ত লেটেন্সির ঘড়ি চক্রের সংখ্যা নির্দিষ্ট করে৷ শূন্য (0) এর একটি মান নির্দেশ করে যে কোনো বিলম্ব নেই, এবং একটি বিশুদ্ধভাবে সম্মিলিত ফাংশন ইনস্ট্যান্ট করা হয়েছে। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 0 (ননপাইপলাইনযুক্ত)। আপনি LPM_PIPELINE প্যারামিটারের জন্য একটি মান নির্দিষ্ট করতে পারবেন না যা LPM_WIDTHN এর থেকে বেশি৷
এই প্যারামিটারটি মডেলিং এবং আচরণগত সিমুলেশন উদ্দেশ্যে ব্যবহৃত হয়। পরামিতি সম্পাদক এই পরামিতির জন্য মান গণনা করে।
LPM_DIVIDE IP কোরে অগ্রণী GND-এর সংখ্যা প্রদান করে অগ্রণী বিটগুলিতে যুক্তিকে অপ্টিমাইজ করার জন্য আরও দক্ষ ভগ্নাংশ বিট বিভাগের অনুমতি দেয়৷ এই প্যারামিটারে ভাগফল আউটপুটে অগ্রণী GND-এর সংখ্যা উল্লেখ করুন।

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 15

683490 | 2020.10.05 প্রতিক্রিয়া পাঠান

4. LPM_MULT (মাল্টিপ্লায়ার) আইপি কোর

চিত্র 3।

LPM_MULT আইপি কোর একটি আউটপুট হিসাবে একটি পণ্য তৈরি করতে দুটি ইনপুট ডেটা মানকে গুণ করার জন্য একটি গুণক প্রয়োগ করে।

নিম্নলিখিত চিত্রটি LPM_MULT আইপি কোরের জন্য পোর্টগুলি দেখায়৷

LPM_Mult পোর্ট

LPM_MULT ঘড়ি ডেটা[] ফলাফল[] ডেটাব[] aclr/sclr clken
inst

71 পৃষ্ঠায় সম্পর্কিত তথ্য বৈশিষ্ট্য

4.1. বৈশিষ্ট্য
LPM_MULT আইপি কোর নিম্নলিখিত বৈশিষ্ট্যগুলি অফার করে: · একটি গুণক তৈরি করে যা দুটি ইনপুট ডেটা মানকে গুণ করে · 1 বিটের ডেটা প্রস্থকে সমর্থন করে · স্বাক্ষরিত এবং স্বাক্ষরবিহীন ডেটা উপস্থাপনা বিন্যাস সমর্থন করে · এলাকা বা গতি অপ্টিমাইজেশান সমর্থন করে · কনফিগারযোগ্য প্রোভিড আউটপুট সহ পাইপলাইনিং সমর্থন করে ডেডিকেটেড ডিজিটাল সিগন্যাল প্রসেসিং (DSP) এ বাস্তবায়নের বিকল্প
ব্লক সার্কিট্রি বা লজিক এলিমেন্ট (এলইএস) দ্রষ্টব্য: স্থানীয়ভাবে সমর্থিত আকারের চেয়ে বড় মাল্টিপ্লায়ার তৈরি করার সময় হতে পারে/
ডিএসপি ব্লকের ক্যাসকেডিংয়ের ফলে একটি কর্মক্ষমতা প্রভাব হবে। · ঐচ্ছিক অ্যাসিঙ্ক্রোনাস ক্লিয়ার সমর্থন করে এবং ক্লক ইনপুট পোর্ট সক্ষম করে · Intel Stratix 10, Intel Arria 10 এবং Intel Cyclone 10 GX ডিভাইসের জন্য ঐচ্ছিক সিঙ্ক্রোনাস ক্লিয়ার সমর্থন করে

ইন্টেল কর্পোরেশন। সমস্ত অধিকার সংরক্ষিত. ইন্টেল, ইন্টেল লোগো এবং অন্যান্য ইন্টেল চিহ্নগুলি হল ইন্টেল কর্পোরেশন বা এর সহযোগী সংস্থাগুলির ট্রেডমার্ক৷ ইন্টেল তার এফপিজিএ এবং সেমিকন্ডাক্টর পণ্যগুলির কার্যকারিতাকে ইন্টেলের স্ট্যান্ডার্ড ওয়ারেন্টি অনুসারে বর্তমান স্পেসিফিকেশনের জন্য ওয়ারেন্টি দেয়, তবে নোটিশ ছাড়াই যে কোনও সময় যে কোনও পণ্য এবং পরিষেবাতে পরিবর্তন করার অধিকার সংরক্ষণ করে। ইন্টেল এখানে বর্ণিত কোনো তথ্য, পণ্য, বা পরিষেবার প্রয়োগ বা ব্যবহারের ফলে উদ্ভূত কোনো দায় বা দায়ভার গ্রহণ করে না, যা Intel দ্বারা লিখিতভাবে স্পষ্টভাবে সম্মত হয়েছে। Intel গ্রাহকদের কোনো প্রকাশিত তথ্যের উপর নির্ভর করার আগে এবং পণ্য বা পরিষেবার জন্য অর্ডার দেওয়ার আগে ডিভাইসের স্পেসিফিকেশনের সর্বশেষ সংস্করণ পেতে পরামর্শ দেওয়া হয়। *অন্যান্য নাম এবং ব্র্যান্ড অন্যদের সম্পত্তি হিসাবে দাবি করা যেতে পারে।

ISO 9001:2015 নিবন্ধিত

4. LPM_MULT (মাল্টিপ্লায়ার) আইপি কোর 683490 | 2020.10.05
4.2। ভেরিলগ এইচডিএল প্রোটোটাইপ
নিম্নলিখিত ভেরিলগ এইচডিএল প্রোটোটাইপটি ভেরিলগ ডিজাইনে অবস্থিত File (.v) lpm.v মধ্যে edasynthesis ডিরেক্টরি।
মডিউল lpm_mult ( ফলাফল, dataa, datab, sum, clock, clken, aclr ) প্যারামিটার lpm_type = "lpm_mult"; প্যারামিটার lpm_widtha = 1; প্যারামিটার lpm_widthb = 1; প্যারামিটার lpm_widths = 1; প্যারামিটার lpm_widthp = 1; প্যারামিটার lpm_representation = "আনসাইনড"; প্যারামিটার lpm_pipeline = 0; প্যারামিটার lpm_hint = "অব্যবহৃত"; ইনপুট ঘড়ি; ইনপুট clken; ইনপুট aclr; ইনপুট [lpm_widtha-1:0] dataa; ইনপুট [lpm_widthb-1:0] ডেটাব; ইনপুট [lpm_widths-1:0] যোগফল; আউটপুট [lpm_widthp-1:0] ফলাফল; endmodule
4.3। VHDL উপাদান ঘোষণা
ভিএইচডিএল কম্পোনেন্ট ডিক্লারেশনটি ভিএইচডিএল ডিজাইনে অবস্থিত File (.vhd) LPM_PACK.vhd এর মধ্যে librariesvhdllpm ডিরেক্টরি।
উপাদান LPM_MULT জেনেরিক ( LPM_WIDTHA : প্রাকৃতিক; LPM_WIDTHB : প্রাকৃতিক; LPM_WIDTHS : প্রাকৃতিক := 1; LPM_WIDTHP : প্রাকৃতিক;
LPM_REPRESENTATION : স্ট্রিং := "আনসাইনড"; LPM_PIPELINE : প্রাকৃতিক := 0; LPM_TYPE: স্ট্রিং := L_MULT; LPM_HINT : স্ট্রিং := "অব্যবহৃত"); পোর্ট ( DATAA : in std_logic_vector(LPM_WIDTHA-1 ডাউন টু 0); ডেটাব: std_logic_vector এ(LPM_WIDTHB-1 ডাউন টু 0); ACLR: std_logic এ := '0'; CLOCK: in std_logic := '0'; CLKEN : std_logic এ := '1'; SUM : std_logic_vector-এ(LPM_WIDTHS-1 down to 0) := (অন্যরা => '0'); ফলাফল: std_logic_vector (LPM_WIDTHP-1 থেকে 0 পর্যন্ত)); শেষ উপাদান;
4.4। VHDL LIBRARY_USE ঘোষণা
আপনি যদি VHDL কম্পোনেন্ট ঘোষণা ব্যবহার করেন তাহলে VHDL লাইব্রেরি-ব্যবহারের ঘোষণার প্রয়োজন নেই।
লাইব্রেরি এলপিএম; lpm.lpm_components.all ব্যবহার করুন;

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 17

4. LPM_MULT (মাল্টিপ্লায়ার) আইপি কোর 683490 | 2020.10.05

4.5। সংকেত

টেবিল 7।

LPM_MULT ইনপুট সংকেত

সংকেত নাম

প্রয়োজন

বর্ণনা

তথ্য

হ্যাঁ

ডাটা প্রবেশ.

Intel Stratix 10, Intel Arria 10, এবং Intel Cyclone 10 GX ডিভাইসগুলির জন্য, ইনপুট সিগন্যালের আকার ডেটা প্রস্থের প্যারামিটার মানের উপর নির্ভর করে।

পুরানো এবং Intel Cyclone 10 LP ডিভাইসের জন্য, ইনপুট সিগন্যালের আকার LPM_WIDTHA প্যারামিটার মানের উপর নির্ভর করে।

ডেটাব[]

হ্যাঁ

ডাটা প্রবেশ.

Intel Stratix 10, Intel Arria 10, এবং Intel Cyclone 10 GX ডিভাইসগুলির জন্য, ইনপুট সিগন্যালের আকার ডেটাব প্রস্থের প্যারামিটার মানের উপর নির্ভর করে।

পুরানো এবং Intel Cyclone 10 LP ডিভাইসের জন্য, ইনপুট সিগন্যালের আকার নির্ভর করে

LPM_WIDTHB প্যারামিটার মানের উপর।

ঘড়ি

না

পাইপলাইনযুক্ত ব্যবহারের জন্য ঘড়ি ইনপুট।

পুরানো এবং ইন্টেল সাইক্লোন 10 LP ডিভাইসের জন্য, 0 (ডিফল্ট) ছাড়া অন্য LPM_PIPELINE মানগুলির জন্য ঘড়ির সংকেত সক্রিয় করা আবশ্যক৷

Intel Stratix 10, Intel Arria 10, এবং Intel Cyclone 10 GX ডিভাইসের জন্য, লেটেন্সি মান 1 (ডিফল্ট) ছাড়া অন্য হলে ঘড়ির সংকেত সক্রিয় করা আবশ্যক।

clken

না

পাইপলাইনযুক্ত ব্যবহারের জন্য ঘড়ি সক্ষম। যখন clken সংকেত উচ্চ জাহির করা হয়,

যোগকারী/বিয়োগকারী অপারেশন সঞ্চালিত হয়। যখন সংকেত কম, কোন অপারেশন

ঘটে যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 1।

aclr sclr

না

সমস্ত 0 সেকেন্ডে পাইপলাইন রিসেট করতে যেকোন সময় ব্যবহৃত অ্যাসিঙ্ক্রোনাস পরিষ্কার সংকেত,

অ্যাসিঙ্ক্রোনাসভাবে ঘড়ির সংকেত। পাইপলাইনটি একটি অনির্ধারিত (X) থেকে শুরু করে

যুক্তি স্তর। আউটপুট একটি সামঞ্জস্যপূর্ণ, কিন্তু অ-শূন্য মান.

না

সমস্ত 0s এ পাইপলাইন রিসেট করতে যেকোন সময় ব্যবহৃত সিঙ্ক্রোনাস পরিষ্কার সংকেত,

ঘড়ির সংকেতের সাথে সিঙ্ক্রোনাস। পাইপলাইনটি একটি অনির্ধারিত (X) থেকে শুরু করে

যুক্তি স্তর। আউটপুট একটি সামঞ্জস্যপূর্ণ, কিন্তু অ-শূন্য মান.

টেবিল 8।

LPM_MULT আউটপুট সংকেত

সংকেত নাম

প্রয়োজন

বর্ণনা

ফলাফল[]

হ্যাঁ

ডেটা আউটপুট।

পুরানো এবং Intel Cyclone 10 LP ডিভাইসের জন্য, আউটপুট সিগন্যালের আকার LPM_WIDTHP প্যারামিটার মানের উপর নির্ভর করে। যদি LPM_WIDTHP < সর্বোচ্চ (LPM_WIDTHA + LPM_WIDTHB, LPM_WIDTHS) বা (LPM_WIDTHA + LPM_WIDTHS), শুধুমাত্র LPM_WIDTHP MSB উপস্থিত থাকে।

Intel Stratix 10, Intel Arria 10 এবং Intel Cyclone 10 GX-এর জন্য, আউটপুট সিগন্যালের আকার ফলাফল প্রস্থের প্যারামিটারের উপর নির্ভর করে।

4.6। Stratix V, Arria V, Cyclone V, এবং Intel Cyclone 10 LP ডিভাইসের জন্য পরামিতি

4.6.1। সাধারন ট্যাব

টেবিল 9।

সাধারণ ট্যাব

প্যারামিটার

মান

গুণক কনফিগারেশন

'ডেটা' ইনপুটকে 'ডেটাব' ইনপুট দিয়ে গুণ করুন

ডিফল্ট মান

বর্ণনা

'ডেটা' ইনপুটকে 'ডেটাব' ইনপুট দিয়ে গুণ করুন

গুণকের জন্য পছন্দসই কনফিগারেশন নির্বাচন করুন।
অব্যাহত…

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 18

প্রতিক্রিয়া পাঠান

4. LPM_MULT (মাল্টিপ্লায়ার) আইপি কোর 683490 | 2020.10.05

প্যারামিটার
'ডেটা' ইনপুট কত প্রশস্ত হওয়া উচিত? 'ডেটাব' ইনপুট কত প্রশস্ত হওয়া উচিত? 'ফলাফল' আউটপুটের প্রস্থ কীভাবে নির্ধারণ করা উচিত? প্রস্থ সীমাবদ্ধ করুন

মান
'ডেটা' ইনপুট নিজেই গুণ করুন (স্কোয়ারিং অপারেশন)
1 - 256 বিট

ডিফল্ট মান

বর্ণনা

8 বিট

dataa[] পোর্টের প্রস্থ উল্লেখ করুন।

1 - 256 বিট

8 বিট

ডাটাব[] পোর্টের প্রস্থ উল্লেখ করুন।

স্বয়ংক্রিয়ভাবে প্রস্থ গণনা করুন প্রস্থ সীমাবদ্ধ করুন
1 - 512 বিট

স্বয়ংক্রিয়ভাবে y প্রস্থ গণনা করুন

ফলাফল [] পোর্টের প্রস্থ নির্ধারণ করতে পছন্দসই পদ্ধতি নির্বাচন করুন।

16 বিট

ফলাফল [] পোর্টের প্রস্থ উল্লেখ করুন।
আপনি টাইপ প্যারামিটারে প্রস্থ সীমাবদ্ধ নির্বাচন করলেই এই মানটি কার্যকর হবে।

4.6.2। সাধারণ 2 ট্যাব

সারণি 10. সাধারণ 2 ট্যাব

প্যারামিটার

মান

ডাটাব ইনপুট

'ডেটাব' ইনপুট বাসের একটি ধ্রুবক মান আছে?

না হ্যাঁ

গুণের ধরন

কোন ধরণের

স্বাক্ষরবিহীন

আপনি কি গুণ চান? স্বাক্ষরিত

বাস্তবায়ন

কোন গুণক বাস্তবায়ন ব্যবহার করা উচিত?

ডিফল্ট বাস্তবায়ন ব্যবহার করুন
ডেডিকেটেড মাল্টিপ্লায়ার সার্কিটরি ব্যবহার করুন (সব পরিবারের জন্য উপলব্ধ নয়)
যুক্তি উপাদান ব্যবহার করুন

ডিফল্ট মান

বর্ণনা

না

এর ধ্রুবক মান নির্দিষ্ট করতে হ্যাঁ নির্বাচন করুন

`ডাটাব' ইনপুট বাস, যদি থাকে।

স্বাক্ষরবিহীন

উভয় dataa[] এবং datab[] ইনপুটগুলির জন্য উপস্থাপনা বিন্যাস নির্দিষ্ট করুন।

ডিফল্ট বাস্তবায়ন আয়ন ব্যবহার করুন

ফলাফল [] পোর্টের প্রস্থ নির্ধারণ করতে পছন্দসই পদ্ধতি নির্বাচন করুন।

4.6.3। পাইপলাইনিং ট্যাব

সারণী 11. পাইপলাইনিং ট্যাব

প্যারামিটার

আপনি নং পাইপলাইন করতে চান?

ফাংশন?

হ্যাঁ

মান

একটি 'aclr' তৈরি করুন

অ্যাসিঙ্ক্রোনাস পরিষ্কার পোর্ট

ডিফল্ট মান

বর্ণনা

না

পাইপলাইন রেজিস্টার সক্ষম করতে হ্যাঁ নির্বাচন করুন

গুণকের আউটপুট এবং পছন্দসই নির্দিষ্ট করুন

ঘড়ি চক্রে আউটপুট লেটেন্সি। সক্রিয় করা হচ্ছে

পাইপলাইন রেজিস্টার অতিরিক্ত লেটেন্সি যোগ করে

আউটপুট

আনচেক করা হয়েছে

পাইপলাইন রেজিস্টারের জন্য অ্যাসিঙ্ক্রোনাস ক্লিয়ার ব্যবহার করতে aclr পোর্ট সক্ষম করতে এই বিকল্পটি নির্বাচন করুন।
অব্যাহত…

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 19

4. LPM_MULT (মাল্টিপ্লায়ার) আইপি কোর 683490 | 2020.10.05

প্যারামিটার
একটি 'clken' ঘড়ি সক্রিয় ঘড়ি তৈরি করুন
অপ্টিমাইজেশান
আপনি কি ধরনের অপ্টিমাইজেশান চান?

মান -
ডিফল্ট গতির এলাকা

ডিফল্ট মান

বর্ণনা

আনচেক করা হয়েছে

পাইপলাইন রেজিস্টারের ক্লক পোর্টের জন্য সক্রিয় হাই ক্লক সক্ষম নির্দিষ্ট করে

ডিফল্ট

আইপি কোরের জন্য পছন্দসই অপ্টিমাইজেশান নির্দিষ্ট করুন।
আইপি কোরের জন্য সর্বোত্তম অপ্টিমাইজেশন নির্ধারণ করতে Intel Quartus প্রাইম সফ্টওয়্যারকে অনুমতি দিতে ডিফল্ট নির্বাচন করুন।

4.7। Intel Stratix 10, Intel Arria 10, এবং Intel Cyclone 10 GX ডিভাইসের জন্য পরামিতি

4.7.1। সাধারন ট্যাব

সারণি 12. সাধারণ ট্যাব

প্যারামিটার

মান

ডিফল্ট মান

বর্ণনা

গুণক কনফিগারেশন প্রকার
ডেটা পোর্ট প্রস্থ

'ডেটা' ইনপুটকে 'ডেটাব' ইনপুট দিয়ে গুণ করুন
'ডেটা' ইনপুট নিজেই গুণ করুন (স্কোয়ারিং অপারেশন)

'ডেটা' ইনপুটকে 'ডেটাব' ইনপুট দিয়ে গুণ করুন

গুণকের জন্য পছন্দসই কনফিগারেশন নির্বাচন করুন।

ডেটা প্রস্থ

1 - 256 বিট

8 বিট

dataa[] পোর্টের প্রস্থ উল্লেখ করুন।

ডাটাব প্রস্থ

1 - 256 বিট

8 বিট

ডাটাব[] পোর্টের প্রস্থ উল্লেখ করুন।

'ফলাফল' আউটপুটের প্রস্থ কীভাবে নির্ধারণ করা উচিত?

টাইপ

স্বয়ংক্রিয়ভাবে প্রস্থ গণনা করুন
প্রস্থ সীমাবদ্ধ করুন

স্বয়ংক্রিয়ভাবে y প্রস্থ গণনা করুন

ফলাফল [] পোর্টের প্রস্থ নির্ধারণ করতে পছন্দসই পদ্ধতি নির্বাচন করুন।

মান

1 - 512 বিট

16 বিট

ফলাফল [] পোর্টের প্রস্থ উল্লেখ করুন।
আপনি টাইপ প্যারামিটারে প্রস্থ সীমাবদ্ধ নির্বাচন করলেই এই মানটি কার্যকর হবে।

ফলাফলের প্রস্থ

1 - 512 বিট

ফলাফল[] পোর্টের কার্যকরী প্রস্থ প্রদর্শন করে।

4.7.2। সাধারণ 2 ট্যাব

সারণি 13. সাধারণ 2 ট্যাব

প্যারামিটার

ডাটাব ইনপুট

'ডেটাব' ইনপুট বাসের একটি ধ্রুবক মান আছে?

না হ্যাঁ

মান

ডিফল্ট মান

বর্ণনা

না

এর ধ্রুবক মান নির্দিষ্ট করতে হ্যাঁ নির্বাচন করুন

`ডাটাব' ইনপুট বাস, যদি থাকে।

অব্যাহত…

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 20

প্রতিক্রিয়া পাঠান

4. LPM_MULT (মাল্টিপ্লায়ার) আইপি কোর 683490 | 2020.10.05

প্যারামিটার

মান

মান

0-এর চেয়ে বড় যেকোনো মান

গুণের ধরন

কোন ধরণের

স্বাক্ষরবিহীন

আপনি কি গুণ চান? স্বাক্ষরিত

বাস্তবায়ন শৈলী

কোন গুণক বাস্তবায়ন ব্যবহার করা উচিত?

ডিফল্ট বাস্তবায়ন ব্যবহার করুন
ডেডিকেটেড মাল্টিপ্লায়ার সার্কিটরি ব্যবহার করুন
যুক্তি উপাদান ব্যবহার করুন

ডিফল্ট মান

বর্ণনা

0

ডেটাব[] পোর্টের ধ্রুবক মান উল্লেখ করুন।

স্বাক্ষরবিহীন

উভয় dataa[] এবং datab[] ইনপুটগুলির জন্য উপস্থাপনা বিন্যাস নির্দিষ্ট করুন।

ডিফল্ট বাস্তবায়ন আয়ন ব্যবহার করুন

ফলাফল [] পোর্টের প্রস্থ নির্ধারণ করতে পছন্দসই পদ্ধতি নির্বাচন করুন।

4.7.3। পাইপলাইনিং

সারণী 14. পাইপলাইনিং ট্যাব

প্যারামিটার

মান

আপনি ফাংশন পাইপলাইন করতে চান?

পাইপলাইন

না হ্যাঁ

লেটেন্সি ক্লিয়ার সিগন্যাল টাইপ

0-এর চেয়ে বড় যেকোনো মান।
ACLR SCLR নেই

একটি 'clken' ঘড়ি তৈরি করুন

ঘড়ি সক্রিয় করুন

আপনি কি ধরনের অপ্টিমাইজেশান চান?

টাইপ

ডিফল্ট গতির এলাকা

ডিফল্ট মান

বর্ণনা

না 1 না

গুণকের আউটপুটে পাইপলাইন রেজিস্টার সক্ষম করতে হ্যাঁ নির্বাচন করুন। পাইপলাইন রেজিস্টার সক্রিয় করা আউটপুটে অতিরিক্ত লেটেন্সি যোগ করে।
ঘড়ি চক্রে পছন্দসই আউটপুট লেটেন্সি নির্দিষ্ট করুন।
পাইপলাইন রেজিস্টারের জন্য রিসেটের ধরন উল্লেখ করুন। আপনি যদি কোনো পাইপলাইন রেজিস্টার ব্যবহার না করেন তবে NONE নির্বাচন করুন। পাইপলাইন রেজিস্টারের জন্য অ্যাসিঙ্ক্রোনাস ক্লিয়ার ব্যবহার করতে ACLR নির্বাচন করুন। এটি ACLR পোর্ট তৈরি করবে। পাইপলাইন রেজিস্টারের জন্য সিঙ্ক্রোনাস ক্লিয়ার ব্যবহার করতে SCLR নির্বাচন করুন। এটি SCLR পোর্ট তৈরি করবে।
পাইপলাইন রেজিস্টারের ক্লক পোর্টের জন্য সক্রিয় হাই ক্লক সক্ষম নির্দিষ্ট করে

ডিফল্ট

আইপি কোরের জন্য পছন্দসই অপ্টিমাইজেশান নির্দিষ্ট করুন।
আইপি কোরের জন্য সর্বোত্তম অপ্টিমাইজেশন নির্ধারণ করতে Intel Quartus প্রাইম সফ্টওয়্যারকে অনুমতি দিতে ডিফল্ট নির্বাচন করুন।

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 21

683490 | 2020.10.05 প্রতিক্রিয়া পাঠান

5. LPM_ADD_SUB (যোগ/বিয়োগকারী)

চিত্র 4।

LPM_ADD_SUB IP কোর আপনাকে ইনপুট মানগুলির যোগফল বা পার্থক্য সমন্বিত একটি আউটপুট তৈরি করতে ডেটার সেট যোগ বা বিয়োগ করতে একটি যোগকারী বা বিয়োগকারী প্রয়োগ করতে দেয়।

নিম্নলিখিত চিত্রটি LPM_ADD_SUB IP কোরের জন্য পোর্টগুলি দেখায়৷

LPM_ADD_SUB পোর্ট

LPM_ADD_SUB add_sub cin

তথ্য

clock clken datab[] aclr

ফলাফল [] overflow cout

inst

5.1. বৈশিষ্ট্য
LPM_ADD_SUB IP কোর নিম্নলিখিত বৈশিষ্ট্যগুলি অফার করে: · যোগকারী, বিয়োগকারী, এবং গতিশীলভাবে কনফিগারযোগ্য যোগকারী/বিয়োগকারী তৈরি করে
ফাংশন · 1 বিটের ডেটা প্রস্থ সমর্থন করে। · স্বাক্ষরিত এবং স্বাক্ষরবিহীন হিসাবে ডেটা উপস্থাপনা বিন্যাস সমর্থন করে। · ঐচ্ছিক ক্যারি-ইন (ধার-আউট), অ্যাসিঙ্ক্রোনাস ক্লিয়ার, এবং ঘড়ি সক্ষম সমর্থন করে
ইনপুট পোর্ট। · ঐচ্ছিক বহন-আউট (ধার-ইন) এবং ওভারফ্লো আউটপুট পোর্ট সমর্থন করে। · একটি ধ্রুবক ইনপুট ডেটা বাসের যেকোনো একটিকে বরাদ্দ করে। · কনফিগারযোগ্য আউটপুট লেটেন্সি সহ পাইপলাইনিং সমর্থন করে।

ইন্টেল কর্পোরেশন। সমস্ত অধিকার সংরক্ষিত. ইন্টেল, ইন্টেল লোগো এবং অন্যান্য ইন্টেল চিহ্নগুলি হল ইন্টেল কর্পোরেশন বা এর সহযোগী সংস্থাগুলির ট্রেডমার্ক৷ ইন্টেল তার এফপিজিএ এবং সেমিকন্ডাক্টর পণ্যগুলির কার্যকারিতাকে ইন্টেলের স্ট্যান্ডার্ড ওয়ারেন্টি অনুসারে বর্তমান স্পেসিফিকেশনের জন্য ওয়ারেন্টি দেয়, তবে নোটিশ ছাড়াই যে কোনও সময় যে কোনও পণ্য এবং পরিষেবাতে পরিবর্তন করার অধিকার সংরক্ষণ করে। ইন্টেল এখানে বর্ণিত কোনো তথ্য, পণ্য, বা পরিষেবার প্রয়োগ বা ব্যবহারের ফলে উদ্ভূত কোনো দায় বা দায়ভার গ্রহণ করে না, যা Intel দ্বারা লিখিতভাবে স্পষ্টভাবে সম্মত হয়েছে। Intel গ্রাহকদের কোনো প্রকাশিত তথ্যের উপর নির্ভর করার আগে এবং পণ্য বা পরিষেবার জন্য অর্ডার দেওয়ার আগে ডিভাইসের স্পেসিফিকেশনের সর্বশেষ সংস্করণ পেতে পরামর্শ দেওয়া হয়। *অন্যান্য নাম এবং ব্র্যান্ড অন্যদের সম্পত্তি হিসাবে দাবি করা যেতে পারে।

ISO 9001:2015 নিবন্ধিত

5. LPM_ADD_SUB (অ্যাডার/বিয়োগকারী) 683490 | 2020.10.05
5.2। ভেরিলগ এইচডিএল প্রোটোটাইপ
নিম্নলিখিত ভেরিলগ এইচডিএল প্রোটোটাইপটি ভেরিলগ ডিজাইনে অবস্থিত File (.v) lpm.v মধ্যে edasynthesis ডিরেক্টরি।
মডিউল lpm_add_sub ( ফলাফল, cout, overflow,add_sub, cin, dataa, datab, clock, clken, aclr); প্যারামিটার lpm_type = "lpm_add_sub"; প্যারামিটার lpm_width = 1; প্যারামিটার lpm_direction = "অব্যবহৃত"; প্যারামিটার lpm_representation = "স্বাক্ষরিত"; প্যারামিটার lpm_pipeline = 0; প্যারামিটার lpm_hint = "অব্যবহৃত"; ইনপুট [lpm_width-1:0] dataa, datab; ইনপুট add_sub, cin; ইনপুট ঘড়ি; ইনপুট clken; ইনপুট aclr; আউটপুট [lpm_width-1:0] ফলাফল; output cout, overflow; endmodule
5.3। VHDL উপাদান ঘোষণা
ভিএইচডিএল কম্পোনেন্ট ডিক্লারেশনটি ভিএইচডিএল ডিজাইনে অবস্থিত File (.vhd) LPM_PACK.vhd এর মধ্যে librariesvhdllpm ডিরেক্টরি।
উপাদান LPM_ADD_SUB জেনেরিক (LPM_WIDTH : প্রাকৃতিক;
LPM_DIRECTION : স্ট্রিং := "অব্যবহৃত"; LPM_REPRESENTATION: স্ট্রিং := "স্বাক্ষরিত"; LPM_PIPELINE : প্রাকৃতিক := 0; LPM_TYPE : স্ট্রিং := L_ADD_SUB; LPM_HINT : স্ট্রিং := "অব্যবহৃত"); পোর্ট (ডেটাএ: std_logic_vector এ(LPM_WIDTH-1 ডাউনটু 0); ডাটাব: std_logic_vector এ(LPM_WIDTH-1 ডাউনটু 0); ACLR: in std_logic := '0'; CLOCK: in std_logic := '0'; CLKEN : std_logic এ := '1'; CIN : std_logic এ := 'Z'; ADD_SUB : std_logic এ := '1'; ফলাফল : std_logic_vector (LPM_WIDTH-1 ডাউন থেকে 0); COUT : out std_logic; OVERFLOW : out std_logic); শেষ উপাদান;
5.4। VHDL LIBRARY_USE ঘোষণা
আপনি যদি VHDL কম্পোনেন্ট ঘোষণা ব্যবহার করেন তাহলে VHDL লাইব্রেরি-ব্যবহারের ঘোষণার প্রয়োজন নেই।
লাইব্রেরি এলপিএম; lpm.lpm_components.all ব্যবহার করুন;
5.5. বন্দর
নিম্নলিখিত টেবিলগুলি LPM_ADD_SUB আইপি কোরের জন্য ইনপুট এবং আউটপুট পোর্টগুলির তালিকা করে৷

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 23

5. LPM_ADD_SUB (অ্যাডার/বিয়োগকারী) 683490 | 2020.10.05

সারণী 15. LPM_ADD_SUB IP কোর ইনপুট পোর্ট

পোর্টের নাম

প্রয়োজন

বর্ণনা

cin

না

লো-অর্ডার বিটে ক্যারি-ইন করুন। অতিরিক্ত ক্রিয়াকলাপের জন্য, ডিফল্ট মান হল 0. এর জন্য

বিয়োগ ক্রিয়াকলাপ, ডিফল্ট মান হল 1।

তথ্য

হ্যাঁ

ডাটা প্রবেশ. ইনপুট পোর্টের আকার LPM_WIDTH প্যারামিটার মানের উপর নির্ভর করে।

ডেটাব[]

হ্যাঁ

ডাটা প্রবেশ. ইনপুট পোর্টের আকার LPM_WIDTH প্যারামিটার মানের উপর নির্ভর করে।

যোগ_সাব

না

অ্যাডার এবং বিয়োগকারীর মধ্যে গতিশীল স্যুইচিং সক্ষম করতে ঐচ্ছিক ইনপুট পোর্ট

ফাংশন LPM_DIRECTION প্যারামিটার ব্যবহার করা হলে, add_sub ব্যবহার করা যাবে না। যদি

বাদ দেওয়া হয়েছে, ডিফল্ট মান হল ADD। ইন্টেল আপনাকে ব্যবহার করার পরামর্শ দেয়

LPM_ADD_SUB ফাংশনের অপারেশন নির্দিষ্ট করতে LPM_DIRECTION প্যারামিটার,

add_sub পোর্টে একটি ধ্রুবক বরাদ্দ করার পরিবর্তে।

ঘড়ি

না

পাইপলাইনযুক্ত ব্যবহারের জন্য ইনপুট। ক্লক পোর্ট পাইপলাইনের জন্য ঘড়ির ইনপুট প্রদান করে

অপারেশন. 0 (ডিফল্ট) ব্যতীত LPM_PIPELINE মানগুলির জন্য ঘড়ি পোর্ট হতে হবে৷

সক্রিয়

clken

না

পাইপলাইনযুক্ত ব্যবহারের জন্য ঘড়ি সক্ষম। যখন ক্লকেন পোর্ট উচ্চ বলে দাবি করা হয়, তখন অ্যাডার/

বিয়োগকারী অপারেশন সঞ্চালিত হয়. সংকেত কম হলে, কোন অপারেশন হয় না। যদি

বাদ দেওয়া হয়েছে, ডিফল্ট মান হল 1।

aclr

না

পাইপলাইনযুক্ত ব্যবহারের জন্য অ্যাসিঙ্ক্রোনাস পরিষ্কার। পাইপলাইনটি একটি অনির্ধারিত (X) থেকে শুরু করে

যুক্তি স্তর। পাইপলাইনটি সমস্ত 0 সেকেন্ডে রিসেট করার জন্য যে কোনো সময় aclr পোর্ট ব্যবহার করা যেতে পারে,

অ্যাসিঙ্ক্রোনাসভাবে ঘড়ির সংকেত।

সারণী 16. LPM_ADD_SUB আইপি কোর আউটপুট পোর্ট

পোর্টের নাম

প্রয়োজন

বর্ণনা

ফলাফল[]

হ্যাঁ

ডেটা আউটপুট। আউটপুট পোর্টের আকার LPM_WIDTH প্যারামিটারের উপর নির্ভর করে

মান

cout

না

সবচেয়ে উল্লেখযোগ্য বিট (MSB) এর ক্যারি-আউট (ধার-ইন)। cout পোর্ট একটি শারীরিক আছে

MSB-এর বহন-আউট (ধার-ইন) হিসাবে ব্যাখ্যা। cout পোর্ট সনাক্ত করে

আনসাইনড অপারেশনে ওভারফ্লো। cout পোর্ট একই পদ্ধতিতে কাজ করে

স্বাক্ষরিত এবং আনসাইনড অপারেশন।

উপচে পড়া

না

ঐচ্ছিক ওভারফ্লো ব্যতিক্রম আউটপুট। ওভারফ্লো পোর্টের একটি শারীরিক ব্যাখ্যা আছে

এমএসবি-তে ক্যারি-ইন-এর XOR এবং MSB-এর ক্যারি-আউট। ওভারফ্লো পোর্ট

যখন ফলাফল উপলব্ধ নির্ভুলতা অতিক্রম করে, এবং শুধুমাত্র তখনই ব্যবহৃত হয় যখন

LPM_REPRESENTATION পরামিতি মান স্বাক্ষরিত।

5.6. পরামিতি

নিম্নলিখিত সারণী LPM_ADD_SUB আইপি মূল পরামিতি তালিকাভুক্ত করে।

সারণী 17. LPM_ADD_SUB আইপি কোর প্যারামিটার

প্যারামিটার নাম LPM_WIDTH

Integer টাইপ করুন

প্রয়োজন হ্যাঁ

বর্ণনা
ডাটা[], ডাটাব[], এবং ফলাফল[] পোর্টের প্রস্থ নির্দিষ্ট করে।

LPM_DIRECTION

স্ট্রিং

না

মান হল ADD, SUB, এবং UNUSED. যদি বাদ দেওয়া হয়, ডিফল্ট মানটি DEFAULT, যা প্যারামিটারটিকে তার মান add_sub পোর্ট থেকে নিতে নির্দেশ করে। LPM_DIRECTION ব্যবহার করা হলে add_sub পোর্ট ব্যবহার করা যাবে না। Intel সুপারিশ করে যে আপনি add_sub পোর্টে একটি ধ্রুবক বরাদ্দ না করে LPM_ADD_SUB ফাংশনের অপারেশন নির্দিষ্ট করতে LPM_DIRECTION প্যারামিটার ব্যবহার করুন৷
অব্যাহত…

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 24

প্রতিক্রিয়া পাঠান

5. LPM_ADD_SUB (অ্যাডার/বিয়োগকারী) 683490 | 2020.10.05

প্যারামিটার নাম LPM_REPRESENTATION LPM_PIPELINE LPM_HINT LPM_TYPE ONE_INPUT_IS_CONSTANT MAXIMIZE_SPEED
INTENDED_DEVICE_FAMILY

টাইপ করুন স্ট্রিং ইন্টিজার স্ট্রিং স্ট্রিং স্ট্রিং ইন্টিজার
স্ট্রিং

প্রয়োজনীয় না না না না না না না
না

বর্ণনা
সম্পাদিত সংযোজনের ধরন নির্দিষ্ট করে। মানগুলি স্বাক্ষরিত এবং স্বাক্ষরিত নয়৷ যদি বাদ দেওয়া হয়, ডিফল্ট মান স্বাক্ষরিত হয়। যখন এই প্যারামিটারটি SIGNED তে সেট করা হয়, তখন যোগকারী/বিয়োগকারী ডেটা ইনপুটটিকে স্বাক্ষরিত দুটির পরিপূরক হিসাবে ব্যাখ্যা করে।
ফলাফল[] আউটপুটের সাথে যুক্ত লেটেন্সি ক্লক চক্রের সংখ্যা নির্দিষ্ট করে। শূন্য (0) এর একটি মান নির্দেশ করে যে কোনো বিলম্ব নেই, এবং একটি সম্পূর্ণরূপে সম্মিলিত ফাংশন ইনস্ট্যান্ট করা হবে। যদি বাদ দেওয়া হয়, ডিফল্ট মান 0 (নন-পাইপলাইন)।
আপনাকে VHDL ডিজাইনে ইন্টেল-নির্দিষ্ট পরামিতি নির্দিষ্ট করার অনুমতি দেয় files (.vhd)। ডিফল্ট মানটি অব্যবহৃত।
VHDL ডিজাইনে প্যারামিটারাইজড মডিউল (LPM) সত্তা নামের লাইব্রেরি সনাক্ত করে files.
ইন্টেল-নির্দিষ্ট প্যারামিটার। VHDL ডিজাইনে ONE_INPUT_IS_CONSTANT প্যারামিটার নির্দিষ্ট করতে আপনাকে অবশ্যই LPM_HINT প্যারামিটার ব্যবহার করতে হবে files মানগুলি হ্যাঁ, না এবং অব্যবহৃত৷ একটি ইনপুট ধ্রুবক থাকলে বৃহত্তর অপ্টিমাইজেশান প্রদান করে। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল NO।
ইন্টেল-নির্দিষ্ট প্যারামিটার। VHDL ডিজাইনে MAXIMIZE_SPEED প্যারামিটার নির্দিষ্ট করতে আপনাকে অবশ্যই LPM_HINT প্যারামিটার ব্যবহার করতে হবে files আপনি 0 এবং 10 এর মধ্যে একটি মান নির্দিষ্ট করতে পারেন। যদি ব্যবহার করা হয়, তাহলে ইন্টেল কোয়ার্টাস প্রাইম সফ্টওয়্যারটি LPM_ADD_SUB ফাংশনের একটি নির্দিষ্ট দৃষ্টান্তকে অপ্টিমাইজ করার চেষ্টা করে রাউটিবিলিটির পরিবর্তে গতির জন্য, এবং অপ্টিমাইজেশান টেকনিক লজিক বিকল্পের সেটিং ওভাররাইড করে। যদি MAXIMIZE_SPEED অব্যবহৃত হয়, তাহলে অপ্টিমাইজেশান টেকনিক বিকল্পের মান ব্যবহার করা হয়। MAXIMIZE_SPEED এর সেটিং 6 বা তার বেশি হলে, কম্পাইলার ক্যারি চেইন ব্যবহার করে উচ্চ গতির জন্য LPM_ADD_SUB আইপি কোরকে অপ্টিমাইজ করে; যদি সেটিং 5 বা তার কম হয়, কম্পাইলার ক্যারি চেইন ছাড়াই নকশা প্রয়োগ করে। এই প্যারামিটারটি সাইক্লোন, স্ট্র্যাটিক্স এবং স্ট্র্যাটিক্স জিএক্স ডিভাইসের জন্য নির্দিষ্ট করা আবশ্যক যখন add_sub পোর্ট ব্যবহার করা হয় না।
এই প্যারামিটারটি মডেলিং এবং আচরণগত সিমুলেশন উদ্দেশ্যে ব্যবহৃত হয়। পরামিতি সম্পাদক এই পরামিতির জন্য মান গণনা করে।

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 25

683490 | 2020.10.05 প্রতিক্রিয়া পাঠান

6. LPM_COMPARE (তুলনাকারী)

চিত্র 5।

LPM_COMPARE IP কোর তাদের মধ্যে সম্পর্ক নির্ধারণ করতে দুটি সেট ডেটার মান তুলনা করে। এর সহজতম আকারে, দুই বিট ডেটা সমান কিনা তা নির্ধারণ করতে আপনি একটি এক্সক্লুসিভ-OR গেট ব্যবহার করতে পারেন।

নিম্নলিখিত চিত্রটি LPM_COMPARE IP কোরের জন্য পোর্টগুলি দেখায়৷

LPM_COMPARE পোর্ট

LPM_COMPARE

clken

alb

aeb

তথ্য

agb

ডেটাব[]

বয়স

ঘড়ি

aneb

aclr

আলেব

inst

6.1. বৈশিষ্ট্য
LPM_COMPARE আইপি কোর নিম্নলিখিত বৈশিষ্ট্যগুলি অফার করে: · দুটি সেট ডেটার তুলনা করার জন্য একটি তুলনাকারী ফাংশন তৈরি করে · 1 বিটের ডেটা প্রস্থ সমর্থন করে · স্বাক্ষরিত এবং স্বাক্ষরবিহীনের মতো ডেটা উপস্থাপনা বিন্যাস সমর্থন করে · নিম্নলিখিত আউটপুট প্রকারগুলি তৈরি করে:
— alb (ইনপুট A ইনপুট B এর চেয়ে কম) — aeb (ইনপুট A ইনপুট B এর সমান) — agb (ইনপুট A ইনপুট B এর চেয়ে বড়) — ageb (ইনপুট A ইনপুট B এর চেয়ে বড় বা সমান) — aneb ( ইনপুট A ইনপুট B এর সমান নয়) — আলেব (ইনপুট A ইনপুট B এর থেকে কম বা সমান) · ঐচ্ছিক অ্যাসিঙ্ক্রোনাস ক্লিয়ার সমর্থন করে এবং ঘড়ি ইনপুট পোর্ট সক্ষম করে · একটি ধ্রুবক ইনপুট ডেটাব বরাদ্দ করে · কনফিগারযোগ্য আউটপুট লেটেন্সি সহ পাইপলাইনিং সমর্থন করে

ইন্টেল কর্পোরেশন। সমস্ত অধিকার সংরক্ষিত. ইন্টেল, ইন্টেল লোগো এবং অন্যান্য ইন্টেল চিহ্নগুলি হল ইন্টেল কর্পোরেশন বা এর সহযোগী সংস্থাগুলির ট্রেডমার্ক৷ ইন্টেল তার এফপিজিএ এবং সেমিকন্ডাক্টর পণ্যগুলির কার্যকারিতাকে ইন্টেলের স্ট্যান্ডার্ড ওয়ারেন্টি অনুসারে বর্তমান স্পেসিফিকেশনের জন্য ওয়ারেন্টি দেয়, তবে নোটিশ ছাড়াই যে কোনও সময় যে কোনও পণ্য এবং পরিষেবাতে পরিবর্তন করার অধিকার সংরক্ষণ করে। ইন্টেল এখানে বর্ণিত কোনো তথ্য, পণ্য, বা পরিষেবার প্রয়োগ বা ব্যবহারের ফলে উদ্ভূত কোনো দায় বা দায়ভার গ্রহণ করে না, যা Intel দ্বারা লিখিতভাবে স্পষ্টভাবে সম্মত হয়েছে। Intel গ্রাহকদের কোনো প্রকাশিত তথ্যের উপর নির্ভর করার আগে এবং পণ্য বা পরিষেবার জন্য অর্ডার দেওয়ার আগে ডিভাইসের স্পেসিফিকেশনের সর্বশেষ সংস্করণ পেতে পরামর্শ দেওয়া হয়। *অন্যান্য নাম এবং ব্র্যান্ড অন্যদের সম্পত্তি হিসাবে দাবি করা যেতে পারে।

ISO 9001:2015 নিবন্ধিত

6. LPM_COMPARE (তুলনাকারী) 683490 | 2020.10.05
6.2। ভেরিলগ এইচডিএল প্রোটোটাইপ
নিম্নলিখিত ভেরিলগ এইচডিএল প্রোটোটাইপটি ভেরিলগ ডিজাইনে অবস্থিত File (.v) lpm.v মধ্যে edasynthesis ডিরেক্টরি।
মডিউল lpm_compare ( alb, aeb, agb, aleb, aneb, ageb, dataa, datab, clock, clken, aclr); প্যারামিটার lpm_type = "lpm_compare"; প্যারামিটার lpm_width = 1; প্যারামিটার lpm_representation = "আনসাইনড"; প্যারামিটার lpm_pipeline = 0; প্যারামিটার lpm_hint = "অব্যবহৃত"; ইনপুট [lpm_width-1:0] dataa, datab; ইনপুট ঘড়ি; ইনপুট clken; ইনপুট aclr; আউটপুট alb, aeb, agb, aleb, aneb, ageb; endmodule
6.3। VHDL উপাদান ঘোষণা
ভিএইচডিএল কম্পোনেন্ট ডিক্লারেশনটি ভিএইচডিএল ডিজাইনে অবস্থিত File (.vhd) LPM_PACK.vhd এর মধ্যে librariesvhdllpm ডিরেক্টরি।
উপাদান LPM_COMPARE জেনেরিক (LPM_WIDTH : প্রাকৃতিক;
LPM_REPRESENTATION : স্ট্রিং := "আনসাইনড"; LPM_PIPELINE : প্রাকৃতিক := 0; LPM_TYPE: স্ট্রিং := L_COMPARE; LPM_HINT : স্ট্রিং := "অব্যবহৃত"); পোর্ট (ডেটাএ: std_logic_vector এ(LPM_WIDTH-1 ডাউন টু 0); ডাটাব: std_logic_vector এ(LPM_WIDTH-1 ডাউনটু 0); ACLR: in std_logic := '0'; CLOCK: in std_logic := '0'; CLKEN : std_logic এ := '1'; AGB : out std_logic; AGEB : out std_logic; AEB : out std_logic; ANEB : out std_logic; ALB : out std_logic; ALEB : out std_logic); শেষ উপাদান;
6.4। VHDL LIBRARY_USE ঘোষণা
আপনি যদি VHDL কম্পোনেন্ট ঘোষণা ব্যবহার করেন তাহলে VHDL লাইব্রেরি-ব্যবহারের ঘোষণার প্রয়োজন নেই।
লাইব্রেরি এলপিএম; lpm.lpm_components.all ব্যবহার করুন;
6.5. বন্দর
নিম্নলিখিত টেবিলগুলি LMP_COMPARE আইপি কোরের জন্য ইনপুট এবং আউটপুট পোর্টগুলির তালিকা করে৷

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 27

6. LPM_COMPARE (তুলনাকারী) 683490 | 2020.10.05

সারণী 18. LPM_COMPARE আইপি কোর ইনপুট পোর্ট

পোর্টের নাম

প্রয়োজন

বর্ণনা

তথ্য

হ্যাঁ

ডাটা প্রবেশ. ইনপুট পোর্টের আকার LPM_WIDTH প্যারামিটার মানের উপর নির্ভর করে।

ডেটাব[]

হ্যাঁ

ডাটা প্রবেশ. ইনপুট পোর্টের আকার LPM_WIDTH প্যারামিটার মানের উপর নির্ভর করে।

ঘড়ি

না

পাইপলাইনযুক্ত ব্যবহারের জন্য ঘড়ি ইনপুট। ক্লক পোর্ট পাইপলাইনের জন্য ঘড়ির ইনপুট প্রদান করে

অপারেশন. 0 (ডিফল্ট) ব্যতীত LPM_PIPELINE মানগুলির জন্য ঘড়ি পোর্ট হতে হবে৷

সক্রিয়

clken

না

পাইপলাইনযুক্ত ব্যবহারের জন্য ঘড়ি সক্ষম। যখন clken পোর্ট উচ্চ জাহির করা হয়,

তুলনা অপারেশন সঞ্চালিত হয়. সংকেত কম হলে, কোন অপারেশন হয় না। যদি

বাদ দেওয়া হয়েছে, ডিফল্ট মান হল 1।

aclr

না

পাইপলাইনযুক্ত ব্যবহারের জন্য অ্যাসিঙ্ক্রোনাস পরিষ্কার। পাইপলাইন একটি অনির্ধারিত (X) যুক্তিতে শুরু করে

স্তর পাইপলাইনটি সমস্ত 0 সেকেন্ডে রিসেট করার জন্য যে কোনো সময় aclr পোর্ট ব্যবহার করা যেতে পারে,

অ্যাসিঙ্ক্রোনাসভাবে ঘড়ির সংকেত।

সারণী 19. LPM_COMPARE আইপি কোর আউটপুট পোর্ট

পোর্টের নাম

প্রয়োজন

বর্ণনা

alb

না

তুলনাকারীর জন্য আউটপুট পোর্ট। ইনপুট A ইনপুট B থেকে কম হলে নিশ্চিত করা হয়।

aeb

না

তুলনাকারীর জন্য আউটপুট পোর্ট। ইনপুট A ইনপুট B এর সমান হলে নিশ্চিত করা হয়েছে।

agb

না

তুলনাকারীর জন্য আউটপুট পোর্ট। ইনপুট A ইনপুট B এর থেকে বড় হলে নিশ্চিত করা হয়।

বয়স

না

তুলনাকারীর জন্য আউটপুট পোর্ট। ইনপুট A ইনপুটের চেয়ে বড় বা সমান হলে নিশ্চিত করা হয়

B.

aneb

না

তুলনাকারীর জন্য আউটপুট পোর্ট। ইনপুট A যদি ইনপুট B এর সমান না হয় তবে নিশ্চিত করা হয়েছে।

আলেব

না

তুলনাকারীর জন্য আউটপুট পোর্ট। ইনপুট A ইনপুট B এর থেকে কম বা সমান হলে নিশ্চিত করা হয়।

6.6. পরামিতি

নিম্নলিখিত সারণী LPM_COMPARE IP কোরের জন্য পরামিতি তালিকাভুক্ত করে।

সারণি 20. LPM_COMPARE আইপি কোর প্যারামিটার

প্যারামিটারের নাম

টাইপ

প্রয়োজন

LPM_WIDTH

পূর্ণসংখ্যা হ্যাঁ

LPM_REPRESENTATION

স্ট্রিং

না

LPM_PIPELINE

পূর্ণসংখ্যা

LPM_HINT

স্ট্রিং

না

বর্ণনা
dataa[] এবং datab[] পোর্টের প্রস্থ নির্দিষ্ট করে।
সম্পাদিত তুলনা প্রকার নির্দিষ্ট করে। মানগুলি স্বাক্ষরিত এবং স্বাক্ষরিত নয়৷ যদি বাদ দেওয়া হয়, ডিফল্ট মান আনসাইন করা হয়। যখন এই প্যারামিটার মান SIGNED এ সেট করা হয়, তুলনাকারী ডেটা ইনপুটটিকে স্বাক্ষরিত দুটির পরিপূরক হিসাবে ব্যাখ্যা করে।
alb, aeb, agb, ageb, aleb, বা aneb আউটপুট এর সাথে যুক্ত লেটেন্সির ঘড়ি চক্রের সংখ্যা নির্দিষ্ট করে। শূন্য (0) এর একটি মান নির্দেশ করে যে কোনো বিলম্ব নেই, এবং একটি সম্পূর্ণরূপে সম্মিলিত ফাংশন ইনস্ট্যান্ট করা হবে। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 0 (ননপাইপলাইনযুক্ত)।
আপনাকে VHDL ডিজাইনে ইন্টেল-নির্দিষ্ট পরামিতি নির্দিষ্ট করার অনুমতি দেয় files (.vhd)। ডিফল্ট মানটি অব্যবহৃত।
অব্যাহত…

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 28

প্রতিক্রিয়া পাঠান

6. LPM_COMPARE (তুলনাকারী) 683490 | 2020.10.05
প্যারামিটার নাম LPM_TYPE INTENDED_DEVICE_FAMILY
ONE_INPUT_IS_CONSTANT

String String টাইপ করুন
স্ট্রিং

প্রয়োজনীয় না না
না

বর্ণনা
VHDL ডিজাইনে প্যারামিটারাইজড মডিউল (LPM) সত্তা নামের লাইব্রেরি সনাক্ত করে files.
এই প্যারামিটারটি মডেলিং এবং আচরণগত সিমুলেশন উদ্দেশ্যে ব্যবহৃত হয়। পরামিতি সম্পাদক এই পরামিতির জন্য মান গণনা করে।
ইন্টেল-নির্দিষ্ট প্যারামিটার। VHDL ডিজাইনে ONE_INPUT_IS_CONSTANT প্যারামিটার নির্দিষ্ট করতে আপনাকে অবশ্যই LPM_HINT প্যারামিটার ব্যবহার করতে হবে files মানগুলি হ্যাঁ, না বা অব্যবহৃত৷ একটি ইনপুট ধ্রুবক থাকলে বৃহত্তর অপ্টিমাইজেশান প্রদান করে। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল NO।

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 29

683490 | 2020.10.05 প্রতিক্রিয়া পাঠান

7. ALTECC (ত্রুটি সংশোধন কোড: এনকোডার/ডিকোডার) আইপি কোর

চিত্র 6।

Intel ECC কার্যকারিতা বাস্তবায়নের জন্য ALTECC IP কোর প্রদান করে। ECC ডেটা ট্রান্সমিশনের সময় রিসিভারের দিকে ঘটে যাওয়া দূষিত ডেটা সনাক্ত করে। এই ত্রুটি সংশোধন পদ্ধতিটি এমন পরিস্থিতিতে সবচেয়ে উপযুক্ত যেখানে ত্রুটিগুলি বিস্ফোরণের পরিবর্তে এলোমেলোভাবে ঘটে।

ECC ডেটা এনকোডিং এবং ডিকোডিং প্রক্রিয়ার মাধ্যমে ত্রুটি সনাক্ত করে। প্রাক্তন জন্যampলে, যখন একটি ট্রান্সমিশন অ্যাপ্লিকেশনে ECC প্রয়োগ করা হয়, উৎস থেকে পঠিত ডেটা রিসিভারে পাঠানোর আগে এনকোড করা হয়। এনকোডার থেকে আউটপুট (কোড শব্দ) প্যারিটি বিটের সংখ্যার সাথে যুক্ত কাঁচা ডেটা নিয়ে গঠিত। যোগ করা প্যারিটি বিটের সঠিক সংখ্যা ইনপুট ডেটাতে বিটের সংখ্যার উপর নির্ভর করে। জেনারেটেড কোড ওয়ার্ড তারপর গন্তব্যে প্রেরণ করা হয়।

রিসিভার কোড শব্দটি গ্রহণ করে এবং এটি ডিকোড করে। ডিকোডার দ্বারা প্রাপ্ত তথ্য একটি ত্রুটি সনাক্ত করা হয়েছে কিনা তা নির্ধারণ করে। ডিকোডার একক-বিট এবং ডাবল-বিট ত্রুটি সনাক্ত করে, কিন্তু শুধুমাত্র দূষিত ডেটাতে একক-বিট ত্রুটিগুলি ঠিক করতে পারে। এই ধরনের ECC হল একক ত্রুটি সংশোধন ডবল এরর ডিটেকশন (SECDED)।

আপনি ALTECC IP কোরের এনকোডার এবং ডিকোডার ফাংশন কনফিগার করতে পারেন। এনকোডারে ডেটা ইনপুট একটি কোড ওয়ার্ড তৈরি করতে এনকোড করা হয় যা ডেটা ইনপুট এবং জেনারেট হওয়া প্যারিটি বিটগুলির সংমিশ্রণ। উত্পন্ন কোড শব্দটি তার গন্তব্য ব্লকে পৌঁছানোর ঠিক আগে ডিকোডিংয়ের জন্য ডিকোডার মডিউলে প্রেরণ করা হয়। প্রাপ্ত কোড শব্দে কোনো ত্রুটি আছে কিনা তা নির্ধারণ করতে ডিকোডার একটি সিনড্রোম ভেক্টর তৈরি করে। একক-বিট ত্রুটি ডেটা বিট থেকে হলেই ডিকোডার ডেটা সংশোধন করে। একক-বিট ত্রুটি প্যারিটি বিট থেকে হলে কোনো সংকেত পতাকাঙ্কিত হয় না। ডিকোডারে প্রাপ্ত ডেটার স্থিতি এবং ডিকোডার দ্বারা গৃহীত পদক্ষেপ, যদি থাকে তা দেখানোর জন্য পতাকা সংকেত রয়েছে।

নিম্নলিখিত পরিসংখ্যানগুলি ALTECC আইপি কোরের জন্য পোর্টগুলি দেখায়৷

ALTECC এনকোডার পোর্ট

ALTECC_ENCODER

তথ্য

প্রশ্ন[]

ঘড়ি

ঘড়ি

aclr

inst

ইন্টেল কর্পোরেশন। সমস্ত অধিকার সংরক্ষিত. ইন্টেল, ইন্টেল লোগো এবং অন্যান্য ইন্টেল চিহ্নগুলি হল ইন্টেল কর্পোরেশন বা এর সহযোগী সংস্থাগুলির ট্রেডমার্ক৷ ইন্টেল তার এফপিজিএ এবং সেমিকন্ডাক্টর পণ্যগুলির কার্যকারিতাকে ইন্টেলের স্ট্যান্ডার্ড ওয়ারেন্টি অনুসারে বর্তমান স্পেসিফিকেশনের জন্য ওয়ারেন্টি দেয়, তবে নোটিশ ছাড়াই যে কোনও সময় যে কোনও পণ্য এবং পরিষেবাতে পরিবর্তন করার অধিকার সংরক্ষণ করে। ইন্টেল এখানে বর্ণিত কোনো তথ্য, পণ্য, বা পরিষেবার প্রয়োগ বা ব্যবহারের ফলে উদ্ভূত কোনো দায় বা দায়ভার গ্রহণ করে না, যা Intel দ্বারা লিখিতভাবে স্পষ্টভাবে সম্মত হয়েছে। Intel গ্রাহকদের কোনো প্রকাশিত তথ্যের উপর নির্ভর করার আগে এবং পণ্য বা পরিষেবার জন্য অর্ডার দেওয়ার আগে ডিভাইসের স্পেসিফিকেশনের সর্বশেষ সংস্করণ পেতে পরামর্শ দেওয়া হয়। *অন্যান্য নাম এবং ব্র্যান্ড অন্যদের সম্পত্তি হিসাবে দাবি করা যেতে পারে।

ISO 9001:2015 নিবন্ধিত

7. ALTECC (ত্রুটি সংশোধন কোড: এনকোডার/ডিকোডার) আইপি কোর 683490 | 2020.10.05

চিত্র 7. ALTECC ডিকোডার পোর্ট

ALTECC_DECODER

ডেটা[] ঘড়ির ঘড়ি

q[] err_detected err_corrected
ভুল_মারাত্মক

aclr

inst

7.1। ALTECC এনকোডার বৈশিষ্ট্য

ALTECC এনকোডার আইপি কোর নিম্নলিখিত বৈশিষ্ট্যগুলি অফার করে: · হ্যামিং কোডিং স্কিম ব্যবহার করে ডেটা এনকোডিং সম্পাদন করে · 2 বিটের ডেটা প্রস্থ সমর্থন করে · স্বাক্ষরিত এবং স্বাক্ষরবিহীন ডেটা উপস্থাপনা বিন্যাস সমর্থন করে · একটি বা দুটি ঘড়ি চক্রের আউটপুট লেটেন্সি সহ পাইপলাইনিং সমর্থন করে · ঐচ্ছিক সমর্থন করে অ্যাসিঙ্ক্রোনাস ক্লিয়ার এবং ক্লক সক্ষম পোর্ট

ALTECC এনকোডার আইপি কোর হ্যামিং কোডিং স্কিম ব্যবহার করে ডেটা গ্রহণ করে এবং এনকোড করে। হ্যামিং কোডিং স্কিম প্যারিটি বিটগুলি প্রাপ্ত করে এবং আউটপুট কোড শব্দ তৈরি করতে মূল ডেটাতে যুক্ত করে। যোগ করা প্যারিটি বিটের সংখ্যা ডেটার প্রস্থের উপর নির্ভর করে।

নিম্নলিখিত সারণী ডেটা প্রস্থের বিভিন্ন পরিসরের জন্য সংযুক্ত প্যারিটি বিটের সংখ্যা তালিকাভুক্ত করে। মোট বিট কলাম ইনপুট ডেটা বিট এবং সংযুক্ত প্যারিটি বিটের মোট সংখ্যা উপস্থাপন করে।

টেবিল 21।

ডেটা প্রস্থ অনুসারে প্যারিটি বিট এবং কোড ওয়ার্ডের সংখ্যা

ডেটা প্রস্থ

প্যারিটি বিটের সংখ্যা

মোট বিট (কোড ওয়ার্ড)

2-4

3+1

6-8

5-11

4+1

10-16

12-26

5+1

18-32

27-57

6+1

34-64

58-64

7+1

66-72

প্যারিটি বিট ডেরিভেশন একটি ইভেন-প্যারিটি চেকিং ব্যবহার করে। অতিরিক্ত 1 বিট (সারণীতে +1 হিসাবে দেখানো হয়েছে) কোড শব্দের MSB হিসাবে প্যারিটি বিটের সাথে যুক্ত করা হয়েছে। এটি নিশ্চিত করে যে কোড শব্দটিতে 1 এর একটি জোড় সংখ্যা রয়েছে। প্রাক্তন জন্যampলে, ডাটার প্রস্থ 4 বিট হলে, 4টি প্যারিটি বিট ডেটাতে যুক্ত করা হয় যাতে মোট 8 বিট সহ একটি কোড ওয়ার্ড হয়। 7-বিট কোড ওয়ার্ডের LSB থেকে 8টি বিটের বিজোড় সংখ্যা 1 থাকলে, কোড ওয়ার্ডের 8ম বিট (MSB) হল 1 যা কোড ওয়ার্ডে মোট 1 এর সংখ্যাকে জোড় করে।
নিম্নলিখিত চিত্রটি 8-বিট ডেটা ইনপুটে জেনারেট করা কোড ওয়ার্ড এবং প্যারিটি বিট এবং ডেটা বিটগুলির বিন্যাস দেখায়।

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 31

7. ALTECC (ত্রুটি সংশোধন কোড: এনকোডার/ডিকোডার) আইপি কোর 683490 | 2020.10.05

চিত্র 8।

একটি 8-বিট জেনারেটেড কোড ওয়ার্ডে প্যারিটি বিট এবং ডেটা বিট বিন্যাস

এমএসবি

এলএসবি

4 প্যারিটি বিট

4 ডেটা বিট

8

1

ALTECC এনকোডার আইপি কোর এক সময়ে শুধুমাত্র 2 থেকে 64 বিটের ইনপুট প্রস্থ গ্রহণ করে। 12 বিট, 29 বিট এবং 64 বিটের ইনপুট প্রস্থ, যা আদর্শভাবে ইন্টেল ডিভাইসের জন্য উপযুক্ত, যথাক্রমে 18 বিট, 36 বিট এবং 72 বিটের আউটপুট তৈরি করে। আপনি প্যারামিটার এডিটরে বিট সিলেকশন সীমাবদ্ধতা নিয়ন্ত্রণ করতে পারেন।

7.2। ভেরিলগ এইচডিএল প্রোটোটাইপ (ALTECC_ENCODER)
নিম্নলিখিত ভেরিলগ এইচডিএল প্রোটোটাইপটি ভেরিলগ ডিজাইনে অবস্থিত File (.v) lpm.v মধ্যে edasynthesis ডিরেক্টরি।
মডিউল altecc_encoder #( প্যারামিটার intended_device_family = “unused”, প্যারামিটার lpm_pipeline = 0, প্যারামিটার width_codeword = 8, প্যারামিটার width_dataword = 8, প্যারামিটার lpm_type = “altecc_encoder”, প্যারামিটার lpm_type = “wputus_hint in”, wpm_hint in “wputured, wputer” তারের ঘড়ি, ইনপুট তার [width_dataword-1:0] ডেটা, আউটপুট তার [width_codeword-1:0] q); endmodule

7.3। ভেরিলগ এইচডিএল প্রোটোটাইপ (ALTECC_DECODER)
নিম্নলিখিত ভেরিলগ এইচডিএল প্রোটোটাইপটি ভেরিলগ ডিজাইনে অবস্থিত File (.v) lpm.v মধ্যে edasynthesis ডিরেক্টরি।
মডিউল altecc_decoder #( প্যারামিটার intended_device_family = “unused”, প্যারামিটার lpm_pipeline = 0, প্যারামিটার width_codeword = 8, প্যারামিটার width_dataword = 8, প্যারামিটার lpm_type = “altecc_decoder”, প্যারামিটার lpm_type = “wputus_hint in”, wputus_hint in “wputer” wire clocken, input wire [width_codeword-1:0] data, output wire err_corrected, output wire err_detected, outut wire err_fatal, output wire [width_dataword-1:0] q); endmodule

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 32

প্রতিক্রিয়া পাঠান

7. ALTECC (ত্রুটি সংশোধন কোড: এনকোডার/ডিকোডার) আইপি কোর 683490 | 2020.10.05
7.4। VHDL উপাদান ঘোষণা (ALTECC_ENCODER)
ভিএইচডিএল কম্পোনেন্ট ডিক্লারেশনটি ভিএইচডিএল ডিজাইনে অবস্থিত File (.vhd) altera_mf_components.vhd এর মধ্যে librariesvhdlaltera_mf ডিরেক্টরি।
উপাদান altecc_encoder জেনেরিক ( intended_device_family:string := “unused”; lpm_pipeline:Natural := 0; width_codeword:natural := 8; width_dataword:natural := 8; lpm_hint:string":= "UN_CC_PM ”); বন্ধ -0 ডাউন টু 0)); শেষ উপাদান;
7.5। VHDL উপাদান ঘোষণা (ALTECC_DECODER)
ভিএইচডিএল কম্পোনেন্ট ডিক্লারেশনটি ভিএইচডিএল ডিজাইনে অবস্থিত File (.vhd) altera_mf_components.vhd এর মধ্যে librariesvhdlaltera_mf ডিরেক্টরি।
কম্পোনেন্ট altecc_decoder জেনেরিক ( intended_device_family:string := “unused”; lpm_pipeline:Natural := 0; width_codeword:natural := 8; width_dataword:natural := 8; lpm_hint:string":= "UN_CDPM ”); পোর্ট( aclr:in std_logic := '0'; clock:in std_logic := '0'; clocken:in std_logic := '1'; ডেটা: std_logic_vector এ(width_codeword-1 down to 0); err_corrected : out std_logic; edr_det : out std_logic; q:out std_logic_vector(width_dataword-1 down to 0); syn_e : out std_logic); শেষ উপাদান;
7.6। VHDL LIBRARY_USE ঘোষণা
আপনি যদি VHDL কম্পোনেন্ট ঘোষণা ব্যবহার করেন তাহলে VHDL লাইব্রেরি-ব্যবহারের ঘোষণার প্রয়োজন নেই।
লাইব্রেরি altera_mf; altera_mf.altera_mf_components.all ব্যবহার করুন;
7.7। এনকোডার পোর্ট
নিম্নলিখিত টেবিলগুলি ALTECC এনকোডার আইপি কোরের জন্য ইনপুট এবং আউটপুট পোর্টগুলির তালিকা করে৷

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 33

7. ALTECC (ত্রুটি সংশোধন কোড: এনকোডার/ডিকোডার) আইপি কোর 683490 | 2020.10.05

সারণি 22. ALTECC এনকোডার ইনপুট পোর্ট

পোর্টের নাম

প্রয়োজন

বর্ণনা

তথ্য

হ্যাঁ

ডেটা ইনপুট পোর্ট। ইনপুট পোর্টের আকার WIDTH_DATAWORD এর উপর নির্ভর করে

পরামিতি মান। ডেটা[] পোর্টে এনকোড করা কাঁচা ডেটা থাকে।

ঘড়ি

হ্যাঁ

ক্লক ইনপুট পোর্ট যা এনকোডিং অপারেশন সিঙ্ক্রোনাইজ করার জন্য ঘড়ি সংকেত প্রদান করে।

যখন LPM_PIPELINE মান 0-এর বেশি হয় তখন ঘড়ি পোর্টের প্রয়োজন হয়৷

ঘড়ি

না

ঘড়ি সক্রিয়. যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 1।

aclr

না

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট। সক্রিয় উচ্চ aclr সংকেত যে কোনো সময় ব্যবহার করা যেতে পারে

অ্যাসিঙ্ক্রোনাসভাবে রেজিস্টারগুলি সাফ করুন।

সারণি 23. ALTECC এনকোডার আউটপুট পোর্ট

পোর্টের নাম q[]

প্রয়োজন হ্যাঁ

বর্ণনা
এনকোডেড ডেটা আউটপুট পোর্ট। আউটপুট পোর্টের আকার WIDTH_CODEWORD প্যারামিটার মানের উপর নির্ভর করে।

7.8। ডিকোডার পোর্ট

নিম্নলিখিত টেবিলগুলি ALTECC ডিকোডার আইপি কোরের জন্য ইনপুট এবং আউটপুট পোর্টগুলির তালিকা করে৷

সারণি 24. ALTECC ডিকোডার ইনপুট পোর্ট

পোর্টের নাম

প্রয়োজন

বর্ণনা

তথ্য

হ্যাঁ

ডেটা ইনপুট পোর্ট। ইনপুট পোর্টের আকার WIDTH_CODEWORD প্যারামিটার মানের উপর নির্ভর করে।

ঘড়ি

হ্যাঁ

ক্লক ইনপুট পোর্ট যা এনকোডিং অপারেশন সিঙ্ক্রোনাইজ করার জন্য ঘড়ি সংকেত প্রদান করে। যখন LPM_PIPELINE মান 0-এর বেশি হয় তখন ঘড়ি পোর্টের প্রয়োজন হয়৷

ঘড়ি

না

ঘড়ি সক্রিয়. যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 1।

aclr

না

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট। অ্যাসিঙ্ক্রোনাসভাবে রেজিস্টারগুলি সাফ করতে সক্রিয় উচ্চ aclr সংকেত যে কোনো সময় ব্যবহার করা যেতে পারে।

সারণি 25. ALTECC ডিকোডার আউটপুট পোর্ট

পোর্টের নাম q[]

প্রয়োজন হ্যাঁ

বর্ণনা
ডিকোডেড ডেটা আউটপুট পোর্ট। আউটপুট পোর্টের আকার WIDTH_DATAWORD প্যারামিটার মানের উপর নির্ভর করে।

err_detected হ্যাঁ

প্রাপ্ত ডেটার স্থিতি প্রতিফলিত করার জন্য ফ্ল্যাগ সংকেত এবং পাওয়া যে কোনও ত্রুটি নির্দিষ্ট করে।

err_correcte হ্যাঁ d

প্রাপ্ত ডেটার স্থিতি প্রতিফলিত করতে পতাকা সংকেত। একক-বিট ত্রুটি পাওয়া এবং সংশোধন করা বোঝায়। আপনি ডেটা ব্যবহার করতে পারেন কারণ এটি ইতিমধ্যে সংশোধন করা হয়েছে।

ভুল_মারাত্মক

হ্যাঁ

প্রাপ্ত ডেটার স্থিতি প্রতিফলিত করতে পতাকা সংকেত। পাওয়া ডবল-বিট ত্রুটি বোঝায়, কিন্তু সংশোধন করা হয়নি। এই সংকেত জোরদার করা হলে আপনি ডেটা ব্যবহার করবেন না।

syn_e

না

একটি আউটপুট সিগন্যাল যা যখনই প্যারিটিতে একটি একক-বিট ত্রুটি সনাক্ত করা হয় তখন উচ্চতর হবে৷

বিট

৭.৯। এনকোডার পরামিতি
নিম্নলিখিত সারণী ALTECC এনকোডার আইপি কোরের জন্য পরামিতি তালিকাভুক্ত করে।

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 34

প্রতিক্রিয়া পাঠান

7. ALTECC (ত্রুটি সংশোধন কোড: এনকোডার/ডিকোডার) আইপি কোর 683490 | 2020.10.05

সারণি 26. ALTECC এনকোডার পরামিতি

প্যারামিটারের নাম

টাইপ

প্রয়োজন

বর্ণনা

WIDTH_DATAWORD

পূর্ণসংখ্যা হ্যাঁ

কাঁচা ডেটার প্রস্থ নির্দিষ্ট করে। মানগুলি 2 থেকে 64 পর্যন্ত৷ যদি বাদ দেওয়া হয়, ডিফল্ট মান 8৷

WIDTH_CODEWORD

পূর্ণসংখ্যা হ্যাঁ

সংশ্লিষ্ট কোড শব্দের প্রস্থ নির্দিষ্ট করে। বৈধ মান হল 6 থেকে 72, 9, 17, 33 এবং 65 বাদ দিয়ে। যদি বাদ দেওয়া হয়, ডিফল্ট মান হল 13।

LPM_PIPELINE

পূর্ণসংখ্যা

সার্কিটের জন্য পাইপলাইন নির্দিষ্ট করে। মান 0 থেকে 2 পর্যন্ত। মান 0 হলে, পোর্টগুলি নিবন্ধিত হয় না। মান 1 হলে, আউটপুট পোর্ট নিবন্ধিত হয়। মান 2 হলে, ইনপুট এবং আউটপুট পোর্ট নিবন্ধিত হয়। যদি বাদ দেওয়া হয়, ডিফল্ট মান 0 হয়।

7.10। ডিকোডার পরামিতি

নিম্নলিখিত সারণী ALTECC ডিকোডার আইপি কোর পরামিতি তালিকাভুক্ত করে।

সারণি 27. ALTECC ডিকোডার পরামিতি

প্যারামিটারের নাম WIDTH_DATAWORD

Integer টাইপ করুন

প্রয়োজন

বর্ণনা

হ্যাঁ

কাঁচা ডেটার প্রস্থ নির্দিষ্ট করে। মান 2 থেকে 64

ডিফল্ট মান 8।

WIDTH_CODEWORD

পূর্ণসংখ্যা

হ্যাঁ

সংশ্লিষ্ট কোড শব্দের প্রস্থ নির্দিষ্ট করে। মান 6

72 পর্যন্ত, 9, 17, 33 এবং 65 বাদে। যদি বাদ দেওয়া হয়, ডিফল্ট মান

হল 13

LPM_PIPELINE

পূর্ণসংখ্যা

না

সার্কিটের রেজিস্টার নির্দিষ্ট করে। মান 0 থেকে 2 পর্যন্ত। যদি

মান 0, কোন রেজিস্টার বাস্তবায়িত হয় না। মান 1 হলে, the

আউটপুট নিবন্ধিত হয়। মান 2 হলে, ইনপুট এবং উভয়ই

আউটপুট নিবন্ধিত হয়. মান 2-এর বেশি হলে অতিরিক্ত

রেজিস্টারগুলি অতিরিক্তের জন্য আউটপুটে প্রয়োগ করা হয়

বিলম্ব যদি বাদ দেওয়া হয়, ডিফল্ট মান 0 হয়।

একটি 'syn_e' পোর্ট তৈরি করুন

পূর্ণসংখ্যা

না

একটি syn_e পোর্ট তৈরি করতে এই প্যারামিটারটি চালু করুন।

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 35

683490 | 2020.10.05 প্রতিক্রিয়া পাঠান

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর

চিত্র 9।

Intel FPGA মাল্টিপ্লাই অ্যাডার (Intel Stratix 10, Intel Arria 10, and Intel Cyclone 10 GX ডিভাইস) অথবা ALTERA_MULT_ADD (Arria V, Stratix V, এবং Cyclone V ডিভাইস) IP কোর আপনাকে একটি গুণক-অ্যাডার প্রয়োগ করতে দেয়।

নিম্নলিখিত চিত্রটি ইন্টেল এফপিজিএ মাল্টিপ্লাই অ্যাডার বা ALTERA_MULT_ADD আইপি কোরের জন্য পোর্টগুলি দেখায়৷

ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার বা ALTERA_MULT_ADD পোর্ট

ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার বা ALTERA_MULT_ADD

dataa[] signa datab[] signb datac[] coefsel0[] coefsel1[] coefsel2[] coefsel3[] addnsub1 addnsub3 aclr/sclr[] scanina[] clock0 clock1 clock2 ena0 ena1 ena2 sload_accum
accum_sload chainin[]

scanouta[] ফলাফল[]

aclr0 aclr1

inst
একটি গুণক-যোগকারী ইনপুটগুলির জোড়া গ্রহণ করে, মানগুলিকে একসাথে গুণ করে এবং তারপর অন্য সমস্ত জোড়ার পণ্যগুলি থেকে যোগ বা বিয়োগ করে।
যদি সমস্ত ইনপুট ডেটা প্রস্থ 9-বিট চওড়া বা ছোট হয়, তবে ফাংশনটি 9 x 9 কনফিগারেশন সমর্থন করে এমন ডিভাইসগুলির জন্য DSP ব্লকে 9 x 9 বিট ইনপুট মাল্টিপ্লায়ার কনফিগারেশন ব্যবহার করে। যদি না হয়, ডিএসপি ব্লক 18 × 18-বিট ইনপুট মাল্টিপ্লায়ার ব্যবহার করে 10 বিট এবং 18 বিটের মধ্যে প্রস্থ সহ ডেটা প্রক্রিয়া করে। যদি একাধিক ইন্টেল এফপিজিএ মাল্টিপ্লাই অ্যাডার বা ALTERA_MULT_ADD আইপি কোর একটি ডিজাইনে দেখা দেয় তবে ফাংশনগুলি এইভাবে বিতরণ করা হয়

ইন্টেল কর্পোরেশন। সমস্ত অধিকার সংরক্ষিত. ইন্টেল, ইন্টেল লোগো এবং অন্যান্য ইন্টেল চিহ্নগুলি হল ইন্টেল কর্পোরেশন বা এর সহযোগী সংস্থাগুলির ট্রেডমার্ক৷ ইন্টেল তার এফপিজিএ এবং সেমিকন্ডাক্টর পণ্যগুলির কার্যকারিতাকে ইন্টেলের স্ট্যান্ডার্ড ওয়ারেন্টি অনুসারে বর্তমান স্পেসিফিকেশনের জন্য ওয়ারেন্টি দেয়, তবে নোটিশ ছাড়াই যে কোনও সময় যে কোনও পণ্য এবং পরিষেবাতে পরিবর্তন করার অধিকার সংরক্ষণ করে। ইন্টেল এখানে বর্ণিত কোনো তথ্য, পণ্য, বা পরিষেবার প্রয়োগ বা ব্যবহারের ফলে উদ্ভূত কোনো দায় বা দায়ভার গ্রহণ করে না, যা Intel দ্বারা লিখিতভাবে স্পষ্টভাবে সম্মত হয়েছে। Intel গ্রাহকদের কোনো প্রকাশিত তথ্যের উপর নির্ভর করার আগে এবং পণ্য বা পরিষেবার জন্য অর্ডার দেওয়ার আগে ডিভাইসের স্পেসিফিকেশনের সর্বশেষ সংস্করণ পেতে পরামর্শ দেওয়া হয়। *অন্যান্য নাম এবং ব্র্যান্ড অন্যদের সম্পত্তি হিসাবে দাবি করা যেতে পারে।

ISO 9001:2015 নিবন্ধিত

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05
যতটা সম্ভব বিভিন্ন ডিএসপি ব্লক যাতে এই ব্লকগুলিতে রাউটিং আরও নমনীয় হয়। ডিএসপি ব্লক প্রতি কম গুণকগুলি ডিভাইসের বাকি অংশে পাথ ছোট করে ব্লকে আরও রাউটিং পছন্দের অনুমতি দেয়।
নিম্নলিখিত সংকেতগুলির জন্য রেজিস্টার এবং অতিরিক্ত পাইপলাইন রেজিস্টারগুলিও ডিএসপি ব্লকের ভিতরে স্থাপন করা হয়েছে: · ডেটা ইনপুট · স্বাক্ষরিত বা স্বাক্ষরবিহীন নির্বাচন · নির্বাচন যোগ বা বিয়োগ · গুণকগুলির পণ্য
আউটপুট ফলাফলের ক্ষেত্রে, প্রথম রেজিস্টারটি ডিএসপি ব্লকে স্থাপন করা হয়। তবে অতিরিক্ত লেটেন্সি রেজিস্টারগুলি ব্লকের বাইরে লজিক উপাদানগুলিতে স্থাপন করা হয়। ডিএসপি ব্লকের পেরিফেরাল, গুণকের ডেটা ইনপুট, নিয়ন্ত্রণ সংকেত ইনপুট এবং অ্যাডারের আউটপুট সহ, বাকি ডিভাইসের সাথে যোগাযোগ করতে নিয়মিত রাউটিং ব্যবহার করে। ফাংশনের সমস্ত সংযোগ ডিএসপি ব্লকের ভিতরে ডেডিকেটেড রাউটিং ব্যবহার করে। এই ডেডিকেটেড রাউটিংটিতে শিফট রেজিস্টার চেইন অন্তর্ভুক্ত থাকে যখন আপনি একটি গুণকের নিবন্ধিত ইনপুট ডেটা একটি গুণক থেকে একটি সন্নিহিত গুণক-এ স্থানান্তর করার বিকল্পটি নির্বাচন করেন।
Stratix V, এবং Arria V ডিভাইস সিরিজের যেকোনো DSP ব্লক সম্পর্কে আরও তথ্যের জন্য, সাহিত্য এবং প্রযুক্তিগত ডকুমেন্টেশন পৃষ্ঠায় সংশ্লিষ্ট হ্যান্ডবুকগুলির DSP ব্লক অধ্যায়টি পড়ুন।
সম্পর্কিত তথ্য AN 306: FPGA ডিভাইসে মাল্টিপ্লায়ার প্রয়োগ করা
ইন্টেল এফপিজিএ ডিভাইসে ডিএসপি এবং মেমরি ব্লক ব্যবহার করে মাল্টিপ্লায়ার বাস্তবায়ন সম্পর্কে আরও তথ্য প্রদান করে।
8.1. বৈশিষ্ট্য
ইন্টেল এফপিজিএ মাল্টিপ্লাই অ্যাডার বা ALTERA_MULT_ADD আইপি কোর নিম্নলিখিত বৈশিষ্ট্যগুলি অফার করে: · দুটি কমপ্লেক্সের গুণন ক্রিয়া সম্পাদন করার জন্য একটি গুণক তৈরি করে
সংখ্যা দ্রষ্টব্য: স্থানীয়ভাবে সমর্থিত আকারের চেয়ে বড় গুণক তৈরি করার সময় সেখানে হতে পারে/
ডিএসপি ব্লকের ক্যাসকেডিংয়ের ফলে একটি কর্মক্ষমতা প্রভাব হবে। · 1 256 বিটের ডেটা প্রস্থ সমর্থন করে · স্বাক্ষরিত এবং স্বাক্ষরবিহীন ডেটা উপস্থাপনা বিন্যাস সমর্থন করে · কনফিগারযোগ্য ইনপুট লেটেন্সি সহ পাইপলাইনিং সমর্থন করে · স্বাক্ষরিত এবং স্বাক্ষরবিহীন ডেটা সমর্থনের মধ্যে গতিশীলভাবে স্যুইচ করার একটি বিকল্প প্রদান করে · গতিশীলভাবে স্যুইচ এবং সাব-আপের মধ্যে অপারেশন স্যুইচ করার বিকল্প প্রদান করে ঐচ্ছিক অ্যাসিঙ্ক্রোনাস এবং সিঙ্ক্রোনাস ক্লিয়ার এবং ক্লক ইনপুট পোর্টগুলি সক্ষম করে · সিস্টোলিক বিলম্ব রেজিস্টার মোড সমর্থন করে · প্রতি গুণক 8 প্রি-লোড সহগ সহ প্রি-অ্যাডার সমর্থন করে · সঞ্চয়কারী প্রতিক্রিয়া পরিপূরক করতে প্রি-লোড ধ্রুবক সমর্থন করে

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 37

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

8.1.1। প্রাক-সংযোজনকারী
প্রি-অ্যাডার দিয়ে, গুণককে খাওয়ানোর আগে যোগ বা বিয়োগ করা হয়।
পাঁচটি প্রি-অ্যাডার মোড রয়েছে: · সরল মোড · সহগ মোড · ইনপুট মোড · স্কোয়ার মোড · ধ্রুবক মোড

দ্রষ্টব্য:

যখন প্রি-অ্যাডার ব্যবহার করা হয় (প্রি-অ্যাডার সহগ/ইনপুট/বর্গক্ষেত্র মোড), গুণকের সমস্ত ডেটা ইনপুট একই ঘড়ি সেটিং থাকতে হবে।

8.1.1.1. প্রি-অ্যাডার সিম্পল মোড

এই মোডে, উভয় অপারেন্ড ইনপুট পোর্ট থেকে প্রাপ্ত হয় এবং প্রি-অ্যাডার ব্যবহার করা হয় না বা বাইপাস করা হয় না। এটি ডিফল্ট মোড।

চিত্র 10. প্রি-অ্যাডার সিম্পল মোড
a0 b0

একাধিক০

ফলাফল

8.1.1.2। প্রি-অ্যাডার সহগ মোড
এই মোডে, একটি গুণক অপারেন্ড প্রি-অ্যাডার থেকে প্রাপ্ত হয় এবং অন্য অপারেন্ডটি অভ্যন্তরীণ সহগ সঞ্চয়স্থান থেকে উদ্ভূত হয়। সহগ সঞ্চয়স্থান 8টি প্রিসেট ধ্রুবক পর্যন্ত অনুমতি দেয়। সহগ নির্বাচন সংকেতগুলি সহগ [0..3]।
এই মোডটি নিম্নলিখিত সমীকরণে প্রকাশ করা হয়েছে।

নিম্নলিখিতটি একটি গুণকের প্রাক-অ্যাডার সহগ মোড দেখায়।

চিত্র 11. প্রি-অ্যাডার সহগ মোড

প্রিডার

a0

একাধিক০

+/-

ফলাফল

b0

coefsel0 coef

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 38

প্রতিক্রিয়া পাঠান

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05
8.1.1.3। প্রি-অ্যাডার ইনপুট মোড এই মোডে, একটি গুণক অপারেন্ড প্রি-অ্যাডার থেকে এবং অন্য অপারেন্ডটি ডেটাক[] ইনপুট পোর্ট থেকে উদ্ভূত হয়। এই মোডটি নিম্নলিখিত সমীকরণে প্রকাশ করা হয়েছে।

নিম্নলিখিত একটি গুণকের প্রি-অ্যাডার ইনপুট মোড দেখায়।

চিত্র 12. প্রি-অ্যাডার ইনপুট মোড
a0 b0

একাধিক০

+/-

ফলাফল

c0

8.1.1.4। প্রি-অ্যাডার স্কয়ার মোড এই মোডটি নিম্নলিখিত সমীকরণে প্রকাশ করা হয়েছে।

নিম্নলিখিত দুটি গুণকের প্রি-অ্যাডার বর্গ মোড দেখায়।

চিত্র 13. প্রি-অ্যাডার স্কয়ার মোড
a0 b0

একাধিক০

+/-

ফলাফল

8.1.1.5। প্রি-অ্যাডার কনস্ট্যান্ট মোড
এই মোডে, একটি গুণক অপারেন্ড ইনপুট পোর্ট থেকে প্রাপ্ত হয় এবং অন্য অপারেন্ডটি অভ্যন্তরীণ সহগ সঞ্চয়স্থান থেকে উদ্ভূত হয়। সহগ সঞ্চয়স্থান 8টি প্রিসেট ধ্রুবক পর্যন্ত অনুমতি দেয়। সহগ নির্বাচন সংকেতগুলি সহগ [0..3]।
এই মোডটি নিম্নলিখিত সমীকরণে প্রকাশ করা হয়েছে।

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 39

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

নিম্নলিখিত চিত্রটি একটি গুণকের প্রি-অ্যাডার ধ্রুবক মোড দেখায়।

চিত্র 14. প্রি-অ্যাডার কনস্ট্যান্ট মোড
a0

একাধিক০

ফলাফল

coefsel0
coef
8.1.2। সিস্টোলিক বিলম্ব রেজিস্টার
একটি সিস্টোলিক আর্কিটেকচারে, ইনপুট ডেটা রেজিস্টারের ক্যাসকেডের মধ্যে দেওয়া হয় যা ডেটা বাফার হিসাবে কাজ করে। প্রতিটি রেজিস্টার একটি ইনপুট প্রদান করেample একটি গুণক যেখানে এটি সংশ্লিষ্ট সহগ দ্বারা গুণিত হয়। চেইন অ্যাডার গুনকের থেকে ধীরে ধীরে মিলিত ফলাফল এবং চেইনিন[] ইনপুট পোর্ট থেকে পূর্বে নিবন্ধিত ফলাফল চূড়ান্ত ফলাফল তৈরি করতে সঞ্চয় করে। প্রতিটি গুণ-সংযোজন উপাদানকে একটি একক চক্র দ্বারা বিলম্বিত করতে হবে যাতে একসাথে যোগ করার সময় ফলাফলগুলি যথাযথভাবে সিঙ্ক্রোনাইজ হয়। প্রতিটি ক্রমাগত বিলম্ব তাদের নিজ নিজ গুণ-সংযোজন উপাদানগুলির সহগ মেমরি এবং ডেটা বাফার উভয়কে মোকাবেলা করতে ব্যবহৃত হয়। প্রাক্তন জন্যample, দ্বিতীয় গুণিত যোগ উপাদানের জন্য একটি একক বিলম্ব, তৃতীয় গুণ যোগ করার উপাদানের জন্য দুটি বিলম্ব, এবং তাই।
চিত্র 15. সিস্টোলিক রেজিস্টার
সিস্টোলিক রেজিস্টার

x(t) c(0)

এস -1

এস -1

গ(১)

এস -1

এস -1

গ(১)

এস -1

এস -1

c(N-1)

এস -1

এস -1

এস -1

S -1 y(t)

x(t) ইনপুট s এর একটি অবিচ্ছিন্ন স্ট্রিম থেকে ফলাফল উপস্থাপন করেampলেস এবং ওয়াই(টি)
ইনপুট s এর একটি সেটের সমষ্টি উপস্থাপন করেamples, এবং সময়ে, তাদের দ্বারা গুণিত
নিজ নিজ সহগ। ইনপুট এবং আউটপুট উভয় ফলাফল বাম থেকে ডানে প্রবাহিত হয়। c(0) থেকে c(N-1) সহগ বোঝায়। সিস্টোলিক বিলম্ব রেজিস্টার S-1 দ্বারা চিহ্নিত করা হয়, যেখানে 1 একটি একক ঘড়ি বিলম্ব প্রতিনিধিত্ব করে। সিস্টোলিক বিলম্ব রেজিস্টার এ যোগ করা হয়
পাইপলাইনিংয়ের জন্য ইনপুট এবং আউটপুটগুলি এমনভাবে যা থেকে ফলাফল নিশ্চিত করে
মাল্টিপ্লায়ার অপারেন্ড এবং সঞ্চিত রাশি একযোগে থাকে। এই প্রক্রিয়াকরণ উপাদান
একটি সার্কিট তৈরি করতে প্রতিলিপি করা হয় যা ফিল্টারিং ফাংশন গণনা করে। এই ফাংশন হয়
নিম্নলিখিত সমীকরণে প্রকাশ করা হয়েছে।

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 40

প্রতিক্রিয়া পাঠান

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

এন ডেটার চক্রের সংখ্যা প্রতিনিধিত্ব করে যা সঞ্চয়কারীতে প্রবেশ করেছে, y(t) টি সময়ে আউটপুট প্রতিনিধিত্ব করে, A(t) টি সময়ে ইনপুটকে প্রতিনিধিত্ব করে এবং B(i) হল সহগ। সমীকরণে t এবং i সময়ের সাথে একটি নির্দিষ্ট তাত্ক্ষণিকের সাথে মিলে যায়, তাই আউটপুট s গণনা করতেample y(t) সময়ে t, ইনপুট s এর একটি গ্রুপampসময়ে N বিভিন্ন বিন্দুতে les, অথবা A(n), A(n-1), A(n-2), … A(n-N+1) প্রয়োজন। N ইনপুট s গ্রুপamplesকে N সহগ দ্বারা গুণ করা হয় এবং একত্রে যোগ করে চূড়ান্ত ফলাফল y তৈরি করা হয়।
সিস্টোলিক রেজিস্টার আর্কিটেকচার শুধুমাত্র যোগফল-2 এবং যোগফল-4 মোডের জন্য উপলব্ধ। উভয় সিস্টোলিক রেজিস্টার আর্কিটেকচার মোডের জন্য, প্রথম চেইনিন সংকেতটি 0 এর সাথে বাঁধা প্রয়োজন।
নিম্নলিখিত চিত্রটি 2 গুণকের সিস্টোলিক বিলম্ব রেজিস্টার বাস্তবায়ন দেখায়।
চিত্র 16. 2 গুণকের সিস্টোলিক বিলম্ব নিবন্ধন বাস্তবায়ন
চেইনিন

a0

একাধিক০

+/-

b0

a1

একাধিক০

+/-

b1

ফলাফল
নিম্নলিখিত সমীকরণে দুটি গুণকের যোগফল প্রকাশ করা হয়েছে।
নিম্নলিখিত চিত্রটি 4 গুণকের সিস্টোলিক বিলম্ব রেজিস্টার বাস্তবায়ন দেখায়।

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 41

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

চিত্র 17. 4 গুণকের সিস্টোলিক বিলম্ব নিবন্ধন বাস্তবায়ন
চেইনিন

a0

একাধিক০

+/-

b0

a1

একাধিক০

+/-

b1

a2

একাধিক০

+/-

b2

a3

একাধিক০

+/-

b3

ফলাফল
নিম্নলিখিত সমীকরণে চার গুণকের যোগফল প্রকাশ করা হয়েছে। চিত্র 18. 4 গুণকের যোগফল
নিম্নলিখিত অ্যাডভান তালিকাtagসিস্টোলিক রেজিস্টার বাস্তবায়নের es: · ডিএসপি রিসোর্স ব্যবহার কমায় · চেইন অ্যাডার স্ট্রাকচার ব্যবহার করে ডিএসপি ব্লকে দক্ষ ম্যাপিং সক্ষম করে

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 42

প্রতিক্রিয়া পাঠান

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

8.1.3। প্রি-লোড কনস্ট্যান্ট
প্রি-লোড ধ্রুবক সঞ্চয়কারী অপারেন্ড নিয়ন্ত্রণ করে এবং সঞ্চয়কারী প্রতিক্রিয়া পরিপূরক করে। বৈধ LOADCONST_VALUE রেঞ্জ 0 থেকে। ধ্রুবক মান 64N এর সমান, যেখানে N = LOADCONST_VALUE। যখন LOADCONST_VALUE 2 তে সেট করা হয়, তখন ধ্রুবক মান 64 এর সমান হয়৷ এই ফাংশনটি পক্ষপাতমূলক রাউন্ডিং হিসাবে ব্যবহার করা যেতে পারে৷
নিম্নলিখিত চিত্রটি প্রি-লোড ধ্রুবক বাস্তবায়ন দেখায়।
চিত্র 19. প্রি-লোড কনস্ট্যান্ট

সঞ্চয়কারী প্রতিক্রিয়া

ধ্রুবক

a0

একাধিক০

+/-

b0

a1

একাধিক০

+/b1

ফলাফল

accum_sload sload_accum

অন্যান্য গুণক বাস্তবায়নের জন্য নিম্নলিখিত আইপি কোরগুলি দেখুন: · ALTMULT_ACCUM · ALTMEMMULT · LPM_MULT
8.1.4। ডাবল অ্যাকিউমুলেটর
ডবল অ্যাকিউমুলেটর বৈশিষ্ট্যটি সঞ্চয়কারী প্রতিক্রিয়া পাথে একটি অতিরিক্ত নিবন্ধন যোগ করে। ডাবল অ্যাকুমুলেটর রেজিস্টার আউটপুট রেজিস্টার অনুসরণ করে, যার মধ্যে ঘড়ি, ঘড়ি সক্ষম এবং aclr অন্তর্ভুক্ত রয়েছে। অতিরিক্ত সঞ্চয়কারী রেজিস্টার এক-চক্র বিলম্বের সাথে ফলাফল প্রদান করে। এই বৈশিষ্ট্যটি আপনাকে একই রিসোর্স কাউন্ট সহ দুটি সঞ্চয়কারী চ্যানেল থাকতে সক্ষম করে।
নিচের চিত্রটি ডবল অ্যাকুমুলেটর বাস্তবায়ন দেখায়।

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 43

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

চিত্র 20. ডাবল অ্যাকিউমুলেটর

Dou ble Accu muulator Register

Accu মুলেটর ফিডবা ck

a0

একাধিক০

+/-

b0

a1

একাধিক০

+/b1

আউটপুট ফলাফল আউটপুট রেজিস্টার

8.2। ভেরিলগ এইচডিএল প্রোটোটাইপ
আপনি Intel FPGA মাল্টিপ্লাই অ্যাডার বা ALTERA_MULT_ADD ভেরিলগ এইচডিএল প্রোটোটাইপ খুঁজে পেতে পারেন file (altera_mult_add_rtl.v) এর মধ্যে লাইব্রেরি মেগাফাংশন ডিরেক্টরি।
8.3। VHDL উপাদান ঘোষণা
VHDL উপাদান ঘোষণা altera_lnsim_components.vhd-এ অবস্থিত librariesvhdl altera_lnsim ডিরেক্টরি।
8.4। VHDL LIBRARY_USE ঘোষণা
আপনি যদি VHDL কম্পোনেন্ট ঘোষণা ব্যবহার করেন তাহলে VHDL লাইব্রেরি-ব্যবহারের ঘোষণার প্রয়োজন নেই।
লাইব্রেরি altera_mf; altera_mf.altera_mf_components.all ব্যবহার করুন;

8.5। সংকেত

নিম্নলিখিত সারণীগুলি মাল্টিপ্লাই অ্যাডার ইন্টেল এফপিজিএ আইপির ALTERA_MULT_ADD আইপি কোরের ইনপুট এবং আউটপুট সংকেতগুলি তালিকাভুক্ত করে৷

সারণি 28. অ্যাডার ইন্টেল এফপিজিএ আইপির ALTERA_MULT_ADD ইনপুট সিগন্যাল গুণ করুন

সংকেত

প্রয়োজন

বর্ণনা

dataa_0[]/dataa_1[]/

হ্যাঁ

dataa_2[]/dataa_3[]

গুণক-এ ডেটা ইনপুট। ইনপুট পোর্ট [NUMBER_OF_MULTIPLIERS * WIDTH_A – 1 … 0] প্রশস্ত
অব্যাহত…

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 44

প্রতিক্রিয়া পাঠান

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

সংকেত datab_0[]/datab_1[]/ datab_2[]/datab_3[] datac_0[] /datac_1[]/ datac_2[]/datac_3[] ঘড়ি[1:0] aclr[1:0] sclr[1:0] ena [১:০] সংকেত
চিহ্ন
scanina[] accum_sload

প্রয়োজনীয় হ্যাঁ না
না না না না না
না
না না

বর্ণনা
এই আইপির সিমুলেশন মডেল এই সংকেতগুলিতে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। আপনি যখন এই সংকেতগুলিতে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
গুণক-এ ডেটা ইনপুট। ইনপুট সিগন্যাল [NUMBER_OF_MULTIPLIERS * WIDTH_B – 1 … 0] চওড়া এই আইপির সিমুলেশন মডেল এই সিগন্যালগুলিতে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। আপনি যখন এই সংকেতগুলিতে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
গুণক-এ ডেটা ইনপুট। ইনপুট সংকেত [NUMBER_OF_MULTIPLIERS * WIDTH_C – 1, … 0] প্রশস্ত এই সংকেতগুলি সক্রিয় করতে প্রিডার মোড প্যারামিটারের জন্য INPUT নির্বাচন করুন। এই আইপির সিমুলেশন মডেল এই সংকেতগুলিতে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। আপনি যখন এই সংকেতগুলিতে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
সংশ্লিষ্ট রেজিস্টারে ক্লক ইনপুট পোর্ট। এই সংকেতটি আইপি কোরের যেকোনো রেজিস্টার দ্বারা ব্যবহার করা যেতে পারে। এই আইপির সিমুলেশন মডেল এই সংকেতগুলিতে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। আপনি যখন এই সংকেতগুলিতে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
সংশ্লিষ্ট রেজিস্টারে অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট। এই আইপির সিমুলেশন মডেল এই সংকেতগুলিতে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। আপনি যখন এই সংকেতগুলিতে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
সংশ্লিষ্ট রেজিস্টারে সিঙ্ক্রোনাস পরিষ্কার ইনপুট। এই আইপির সিমুলেশন মডেলটি এই সংকেতগুলিতে অনির্ধারিত ইনপুট মান X সমর্থন করে। আপনি যখন এই সংকেতগুলিতে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়
সংশ্লিষ্ট রেজিস্টারে সিগন্যাল ইনপুট সক্ষম করুন। এই আইপির সিমুলেশন মডেল এই সংকেতগুলিতে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। আপনি যখন এই সংকেতগুলিতে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
গুণক ইনপুট A এর সাংখ্যিক উপস্থাপনা নির্দিষ্ট করে। সংকেত সংকেত উচ্চ হলে, গুণক গুণক ইনপুট A সংকেতটিকে একটি স্বাক্ষরিত সংখ্যা হিসাবে বিবেচনা করে। যদি সংকেত সংকেত কম হয়, গুণক গুণক ইনপুট A সংকেতটিকে একটি স্বাক্ষরবিহীন সংখ্যা হিসাবে বিবেচনা করে। এই সংকেত সক্রিয় করতে গুণক A ইনপুট প্যারামিটারের জন্য উপস্থাপনা বিন্যাস কী তার জন্য পরিবর্তনশীল নির্বাচন করুন। এই আইপির সিমুলেশন মডেলটি এই সিগন্যালে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। যখন আপনি এই ইনপুটে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
গুণক ইনপুট বি সংকেতের সংখ্যাসূচক উপস্থাপনা নির্দিষ্ট করে। সাইনব সিগন্যাল বেশি হলে, গুণকটি গুণক ইনপুট বি সংকেতটিকে একটি স্বাক্ষরিত দুটির পরিপূরক সংখ্যা হিসাবে বিবেচনা করে। সাইনব সংকেত কম হলে, গুণক গুণক ইনপুট বি সংকেতটিকে একটি স্বাক্ষরবিহীন সংখ্যা হিসাবে বিবেচনা করে। এই আইপির সিমুলেশন মডেলটি এই সিগন্যালে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। যখন আপনি এই ইনপুটে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
স্ক্যান চেইন A এর জন্য ইনপুট। ইনপুট সংকেত [WIDTH_A – 1, … 0] প্রশস্ত। যখন INPUT_SOURCE_A প্যারামিটারে SCANA এর মান থাকে, তখন স্ক্যানিনা[] সংকেত প্রয়োজন হয়৷
সঞ্চয়কারীর মান ধ্রুবক কিনা তা গতিশীলভাবে নির্দিষ্ট করে। যদি accum_sload সংকেত কম হয়, তাহলে গুণক আউটপুট সঞ্চয়কারীতে লোড হয়। accum_sload এবং sload_accum একসাথে ব্যবহার করবেন না।
অব্যাহত…

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 45

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

সংকেত sload_accum
chainin[] addnsub1
addnsub3
coefsel0[] coefsel1[] coefsel2[] coefsel3[]

প্রয়োজনীয় নং
না না
না
না না না না

বর্ণনা
এই আইপির সিমুলেশন মডেলটি এই সিগন্যালে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। যখন আপনি এই ইনপুটে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
সঞ্চয়কারীর মান ধ্রুবক কিনা তা গতিশীলভাবে নির্দিষ্ট করে। যদি sload_accum সংকেত উচ্চ হয়, তাহলে গুণক আউটপুট সঞ্চয়কারীতে লোড হয়। accum_sload এবং sload_accum একসাথে ব্যবহার করবেন না। এই আইপির সিমুলেশন মডেলটি এই সিগন্যালে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। যখন আপনি এই ইনপুটে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
পূর্ববর্তী গুলি থেকে যোগকারী ফলাফল ইনপুট বাসtage ইনপুট সংকেত [WIDTH_CHAININ – 1, … 0] প্রশস্ত।
গুণকগুলির প্রথম জোড়া থেকে আউটপুটগুলিতে যোগ বা বিয়োগ সম্পাদন করুন। গুণকের প্রথম জোড়া থেকে আউটপুট যোগ করতে addnsub1 সংকেতে 1 ইনপুট করুন। গুণকের প্রথম জোড়া থেকে আউটপুট বিয়োগ করতে addnsub0 সংকেতে 1 ইনপুট করুন। এই আইপির সিমুলেশন মডেলটি এই সিগন্যালে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। যখন আপনি এই ইনপুটে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
গুণকগুলির প্রথম জোড়া থেকে আউটপুটগুলিতে যোগ বা বিয়োগ সম্পাদন করুন। দ্বিতীয় জোড়া গুণক থেকে আউটপুট যোগ করতে addnsub1 সংকেতে 3 ইনপুট করুন। গুণকের প্রথম জোড়া থেকে আউটপুট বিয়োগ করতে addnsub0 সংকেতে 3 ইনপুট করুন। এই আইপির সিমুলেশন মডেলটি এই সিগন্যালে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। যখন আপনি এই ইনপুটে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
সহগ ইনপুট সংকেত [0:3] প্রথম গুণক। এই আইপির সিমুলেশন মডেলটি এই সিগন্যালে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। যখন আপনি এই ইনপুটে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
সহগ ইনপুট সংকেত [0:3] দ্বিতীয় গুণক। এই আইপির সিমুলেশন মডেলটি এই সিগন্যালে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। যখন আপনি এই ইনপুটে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
সহগ ইনপুট সংকেত [0:3] তৃতীয় গুণক। এই আইপির সিমুলেশন মডেলটি এই সিগন্যালে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। যখন আপনি এই ইনপুটে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।
সহগ ইনপুট সংকেত [0:3] চতুর্থ গুণক। এই আইপির সিমুলেশন মডেলটি এই সিগন্যালে অনির্ধারিত ইনপুট মান (X) সমর্থন করে। যখন আপনি এই ইনপুটে X মান প্রদান করেন, তখন X মানটি আউটপুট সংকেতগুলিতে প্রচারিত হয়।

সারণি 29. অ্যাডার ইন্টেল এফপিজিএ আইপি আউটপুট সংকেত গুণ করুন

সংকেত

প্রয়োজন

বর্ণনা

ফলাফল []

হ্যাঁ

গুণক আউটপুট সংকেত। আউটপুট সংকেত [WIDTH_RESULT – 1 … 0] প্রশস্ত

এই আইপির সিমুলেশন মডেলটি অনির্ধারিত আউটপুট মান (X) সমর্থন করে। আপনি যখন ইনপুট হিসাবে X মান প্রদান করেন, তখন X মান এই সংকেতে প্রচারিত হয়।

scanouta []

না

স্ক্যান চেইনের আউটপুট A. আউটপুট সংকেত [WIDTH_A – 1..0] প্রশস্ত।

গুনকের সংখ্যার জন্য 2 টির বেশি নির্বাচন করুন এবং এই সংকেতটি সক্ষম করতে পরামিতির সাথে সংযুক্ত গুণকের ইনপুট A কিসের জন্য স্ক্যান চেইন ইনপুট নির্বাচন করুন৷

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 46

প্রতিক্রিয়া পাঠান

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

8.6. পরামিতি

8.6.1। সাধারন ট্যাব

সারণি 30. সাধারণ ট্যাব

প্যারামিটার

আইপি জেনারেটেড প্যারামিটার

মান

গুণক সংখ্যা কত?

সংখ্যা_অফ_মি 1 – 4টি আল্টিপ্লায়ার

A width_a ইনপুট বাস কত চওড়া হওয়া উচিত?

1 - 256

B width_b ইনপুট বাস কত চওড়া হওয়া উচিত?

1 - 256

'ফলাফল' আউটপুট বাস কত চওড়া হওয়া উচিত?

প্রস্থ_ফলাফল

1 - 256

প্রতিটি ঘড়ির জন্য একটি সংশ্লিষ্ট ঘড়ি তৈরি করুন

gui_associate অন d_clock_enbl বন্ধ ই

8.6.2। অতিরিক্ত মোড ট্যাব

সারণি 31. অতিরিক্ত মোড ট্যাব

প্যারামিটার

আইপি জেনারেটেড প্যারামিটার

মান

আউটপুট কনফিগারেশন

অ্যাডার ইউনিটের আউটপুট নিবন্ধন করুন

gui_output_re চালু আছে

গিস্টার

বন্ধ

ঘড়ি ইনপুট জন্য উৎস কি?

gui_output_re gister_clock

ঘড়ি0 ঘড়ি1 ঘড়ি2

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_output_re gister_aclr

ACLR0 ACLR1 নেই

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_output_re gister_sclr

SCLR0 SCLR1 নেই

অ্যাডার অপারেশন

গুণকগুলির প্রথম জোড়ার আউটপুটগুলিতে কী অপারেশন করা উচিত?

gui_multiplier 1_direction

যোগ করুন, উপ, পরিবর্তনশীল

ডিফল্ট মান 1
16

বর্ণনা
গুনকের সংখ্যা একসাথে যোগ করতে হবে। মান 1 থেকে 4 পর্যন্ত। ডেটা[] পোর্টের প্রস্থ উল্লেখ করুন।

16

ডাটাব[] পোর্টের প্রস্থ উল্লেখ করুন।

32

ফলাফল [] পোর্টের প্রস্থ উল্লেখ করুন।

বন্ধ

ঘড়ি সক্ষম তৈরি করতে এই বিকল্পটি নির্বাচন করুন

প্রতিটি ঘড়ির জন্য।

ডিফল্ট মান

বর্ণনা

বন্ধ ঘড়ি0
কোনটিই নয়

অ্যাডার মডিউলের আউটপুট রেজিস্টার সক্রিয় করতে এই বিকল্পটি নির্বাচন করুন।
আউটপুট রেজিস্টারের জন্য ঘড়ির উৎস সক্রিয় এবং নির্দিষ্ট করতে Clock0 , Clock1 বা Clock2 নির্বাচন করুন। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই অ্যাডার ইউনিটের রেজিস্টার আউটপুট নির্বাচন করতে হবে।
অ্যাডার আউটপুট রেজিস্টারের জন্য অ্যাসিঙ্ক্রোনাস পরিষ্কার উত্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই অ্যাডার ইউনিটের রেজিস্টার আউটপুট নির্বাচন করতে হবে।
অ্যাডার আউটপুট রেজিস্টারের জন্য সিঙ্ক্রোনাস স্পষ্ট উত্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই অ্যাডার ইউনিটের রেজিস্টার আউটপুট নির্বাচন করতে হবে।

যোগ করুন

প্রথম এবং দ্বিতীয় গুণকের মধ্যে আউটপুটগুলির জন্য সঞ্চালনের জন্য যোগ বা বিয়োগ অপারেশন নির্বাচন করুন।
· সংযোজন ক্রিয়া সম্পাদন করতে ADD নির্বাচন করুন।
· বিয়োগ ক্রিয়া সম্পাদন করতে SUB নির্বাচন করুন।
· গতিশীল যোগ/বিয়োগ নিয়ন্ত্রণের জন্য addnsub1 পোর্ট ব্যবহার করতে ভেরিয়েবল নির্বাচন করুন।
অব্যাহত…

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 47

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

প্যারামিটার

আইপি জেনারেটেড প্যারামিটার

মান

'addnsub1' ইনপুট নিবন্ধন করুন

gui_addnsub_ অন multiplier_reg Off ister1

ঘড়ি ইনপুট জন্য উৎস কি?

gui_addnsub_ multiplier_reg ister1_clock

ঘড়ি0 ঘড়ি1 ঘড়ি2

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_addnsub_ multiplier_aclr 1

ACLR0 ACLR1 নেই

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_addnsub_ multiplier_sclr 1

SCLR0 SCLR1 নেই

দ্বিতীয় জোড়া গুণকগুলির আউটপুটগুলিতে কী অপারেশন করা উচিত?

gui_multiplier 3_direction

যোগ করুন, উপ, পরিবর্তনশীল

'addnsub3' ইনপুট নিবন্ধন করুন

gui_addnsub_ অন multiplier_reg Off ister3

ঘড়ি ইনপুট জন্য উৎস কি?

gui_addnsub_ multiplier_reg ister3_clock

ঘড়ি0 ঘড়ি1 ঘড়ি2

ডিফল্ট মান
বন্ধ ঘড়ি0 না কোন যোগ করুন
বন্ধ ঘড়ি0

বর্ণনা
যখন ভেরিয়েবল মান নির্বাচন করা হয়: · এর জন্য addnsub1 সংকেতকে উচ্চে ড্রাইভ করুন
সংযোজন অপারেশন। · ড্রাইভ addnsub1 সংকেত কম এর জন্য
বিয়োগ অপারেশন। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই দুটির বেশি গুণক নির্বাচন করতে হবে৷
addnsub1 পোর্টের জন্য ইনপুট রেজিস্টার সক্ষম করতে এই বিকল্পটি নির্বাচন করুন। এই পরামিতি সক্রিয় করতে গুণকগুলির প্রথম জোড়ার আউটপুটগুলিতে কী অপারেশন করা উচিত তার জন্য আপনাকে অবশ্যই পরিবর্তনশীল নির্বাচন করতে হবে৷
addnsub0 রেজিস্টারের জন্য ইনপুট ঘড়ি সংকেত নির্দিষ্ট করতে Clock1 , Clock2 বা Clock1 নির্বাচন করুন। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই নিবন্ধন 'addnsub1' ইনপুট নির্বাচন করতে হবে।
addnsub1 রেজিস্টারের জন্য অ্যাসিঙ্ক্রোনাস পরিষ্কার উত্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই নিবন্ধন 'addnsub1' ইনপুট নির্বাচন করতে হবে।
addnsub1 রেজিস্টারের জন্য সিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই নিবন্ধন 'addnsub1' ইনপুট নির্বাচন করতে হবে।
তৃতীয় এবং চতুর্থ গুণকের মধ্যে আউটপুটগুলির জন্য সঞ্চালনের জন্য যোগ বা বিয়োগ অপারেশন নির্বাচন করুন। · যোগ করার জন্য ADD নির্বাচন করুন
অপারেশন. · বিয়োগ করার জন্য SUB নির্বাচন করুন
অপারেশন. addnsub1 ব্যবহার করতে ভেরিয়েবল নির্বাচন করুন
গতিশীল যোগ/বিয়োগ নিয়ন্ত্রণের জন্য পোর্ট। যখন পরিবর্তনশীল মান নির্বাচন করা হয়: · সংযোজন ক্রিয়াকলাপের জন্য addnsub1 সংকেতকে উচ্চে ড্রাইভ করুন। · বিয়োগ ক্রিয়াকলাপের জন্য addnsub1 সংকেতকে নিম্নে ড্রাইভ করুন। গুণক সংখ্যা কত এর জন্য আপনাকে অবশ্যই মান 4 নির্বাচন করতে হবে? এই প্যারামিটার সক্রিয় করতে।
addnsub3 সংকেতের জন্য ইনপুট রেজিস্টার সক্ষম করতে এই বিকল্পটি নির্বাচন করুন। এই পরামিতি সক্রিয় করতে গুণকগুলির দ্বিতীয় জোড়ার আউটপুটগুলিতে কী অপারেশন করা উচিত তার জন্য আপনাকে অবশ্যই পরিবর্তনশীল নির্বাচন করতে হবে৷
addnsub0 রেজিস্টারের জন্য ইনপুট ঘড়ি সংকেত নির্দিষ্ট করতে Clock1 , Clock2 বা Clock3 নির্বাচন করুন। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই নিবন্ধন 'addnsub3' ইনপুট নির্বাচন করতে হবে।
অব্যাহত…

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 48

প্রতিক্রিয়া পাঠান

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

প্যারামিটার
অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

আইপি জেনারেটেড প্যারামিটার

মান

gui_addnsub_ multiplier_aclr 3

ACLR0 ACLR1 নেই

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_addnsub_ multiplier_sclr 3

SCLR0 SCLR1 নেই

পোলারিটি সক্ষম করুন `use_subadd'

gui_use_subn চালু

যোগ করুন

বন্ধ

8.6.3। গুণক ট্যাব

সারণি 32. গুণক ট্যাব

প্যারামিটার

আইপি জেনারেটেড প্যারামিটার

মান

কি

gui_প্রতিনিধি

প্রতিনিধিত্ব বিন্যাস ation_a

গুণক একটি ইনপুট জন্য?

স্বাক্ষরিত, স্বাক্ষরবিহীন, পরিবর্তনশীল

নিবন্ধন `সিগনা' ইনপুট

gui_register_s চালু আছে

ইগনা

বন্ধ

ঘড়ি ইনপুট জন্য উৎস কি?

gui_register_s igna_clock

ঘড়ি0 ঘড়ি1 ঘড়ি2

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_register_s igna_aclr

ACLR0 ACLR1 নেই

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_register_s igna_sclr

SCLR0 SCLR1 নেই

কি

gui_প্রতিনিধি

প্রতিনিধিত্ব বিন্যাস ation_b

গুণক বি ইনপুট জন্য?

স্বাক্ষরিত, স্বাক্ষরবিহীন, পরিবর্তনশীল

নিবন্ধন `signb' ইনপুট

gui_register_s চালু আছে

ignb

বন্ধ

ডিফল্ট মান নেই
কোনটিই নয়

বর্ণনা
addnsub3 রেজিস্টারের জন্য অ্যাসিঙ্ক্রোনাস পরিষ্কার উত্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই নিবন্ধন 'addnsub3' ইনপুট নির্বাচন করতে হবে।
addnsub3 রেজিস্টারের জন্য সিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই নিবন্ধন 'addnsub3' ইনপুট নির্বাচন করতে হবে।

বন্ধ

ফাংশনটি বিপরীত করতে এই বিকল্পটি নির্বাচন করুন

addnsub ইনপুট পোর্টের।

বিয়োগ ক্রিয়াকলাপের জন্য addnsub কে উচ্চে ড্রাইভ করুন।

সংযোজন অপারেশনের জন্য addnsub কম ড্রাইভ করুন।

ডিফল্ট মান

বর্ণনা

আনসাইনড গুণক A ইনপুটের জন্য উপস্থাপনা বিন্যাস উল্লেখ করুন।

বন্ধ

সংকেত সক্ষম করতে এই বিকল্পটি নির্বাচন করুন

নিবন্ধন

আপনাকে অবশ্যই পরিবর্তনশীল মান নির্বাচন করতে হবে গুণক A ইনপুটগুলির জন্য উপস্থাপনা বিন্যাসটি কী? এই বিকল্পটি সক্ষম করতে পরামিতি।

ঘড়ি 0

সিগনা রেজিস্টারের জন্য ইনপুট ঘড়ি সংকেত সক্রিয় এবং নির্দিষ্ট করতে Clock0 , Clock1 বা Clock2 নির্বাচন করুন।
এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই নিবন্ধন `সিগনা' ইনপুট নির্বাচন করতে হবে।

কোনটিই নয়

সিগনা রেজিস্টারের জন্য অ্যাসিঙ্ক্রোনাস স্পষ্ট উৎস নির্দিষ্ট করে।
এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই নিবন্ধন `সিগনা' ইনপুট নির্বাচন করতে হবে।

কোনটিই নয়

সিঙ্ক্রোনাস ক্লিয়ার সোর্স সিগনা রেজিস্টারের জন্য নির্দিষ্ট করে।
এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই নিবন্ধন `সিগনা' ইনপুট নির্বাচন করতে হবে।

আনসাইনড গুণক বি ইনপুটের জন্য উপস্থাপনা বিন্যাস উল্লেখ করুন।

বন্ধ

সাইনব সক্ষম করতে এই বিকল্পটি নির্বাচন করুন

নিবন্ধন

অব্যাহত…

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 49

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

প্যারামিটার

আইপি জেনারেটেড প্যারামিটার

মান

ডিফল্ট মান

ঘড়ি ইনপুট জন্য উৎস কি?

gui_register_s ignb_clock

ঘড়ি0 ঘড়ি1 ঘড়ি2

ঘড়ি 0

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_register_s ignb_aclr

ACLR0 ACLR1 নেই

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_register_s ignb_sclr

SCLR0 SCLR1 নেই

ইনপুট কনফিগারেশন
গুণক এর ইনপুট A নিবন্ধন করুন
ঘড়ি ইনপুট জন্য উৎস কি?

gui_input_reg চালু

ister_a

বন্ধ

gui_input_reg ister_a_clock

ঘড়ি0 ঘড়ি1 ঘড়ি2

কোনটিই নয়
বন্ধ ঘড়ি0

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_input_reg ister_a_aclr

ACLR0 ACLR1 নেই

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_input_reg ister_a_sclr

SCLR0 SCLR1 নেই

গুণকের বি ইনপুট নিবন্ধন করুন
ঘড়ি ইনপুট জন্য উৎস কি?

gui_input_reg চালু

ister_b

বন্ধ

gui_input_reg ister_b_clock

ঘড়ি0 ঘড়ি1 ঘড়ি2

NONE NONE বন্ধ ঘড়ি0

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_input_reg ister_b_aclr

ACLR0 ACLR1 নেই

কোনটিই নয়

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_input_reg ister_b_sclr

SCLR0 SCLR1 নেই

কোনটিই নয়

গুণকের ইনপুট A কিসের সাথে সংযুক্ত?

gui_multiplier গুণক ইনপুট গুণক

_a_input

চেইন ইনপুট ইনপুট স্ক্যান করুন

বর্ণনা
আপনাকে অবশ্যই পরিবর্তনশীল মান নির্বাচন করতে হবে গুণক বি ইনপুটগুলির জন্য উপস্থাপনা বিন্যাসটি কী? এই বিকল্পটি সক্ষম করতে পরামিতি।
সাইনব রেজিস্টারের জন্য ইনপুট ঘড়ি সংকেত সক্রিয় এবং নির্দিষ্ট করতে Clock0 , Clock1 বা Clock2 নির্বাচন করুন। এই পরামিতি সক্রিয় করতে আপনাকে অবশ্যই নিবন্ধন `signb' ইনপুট নির্বাচন করতে হবে।
সাইনব রেজিস্টারের জন্য অ্যাসিঙ্ক্রোনাস স্পষ্ট উত্স নির্দিষ্ট করে। এই পরামিতি সক্রিয় করতে আপনাকে অবশ্যই নিবন্ধন `signb' ইনপুট নির্বাচন করতে হবে।
সাইনব রেজিস্টারের জন্য সিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে। এই পরামিতি সক্রিয় করতে আপনাকে অবশ্যই নিবন্ধন `signb' ইনপুট নির্বাচন করতে হবে।
ডেটা ইনপুট বাসের জন্য ইনপুট রেজিস্টার সক্ষম করতে এই বিকল্পটি নির্বাচন করুন।
ডেটা ইনপুট বাসের জন্য রেজিস্টার ইনপুট ঘড়ি সংকেত সক্রিয় এবং নির্দিষ্ট করতে Clock0 , Clock1 বা Clock2 নির্বাচন করুন। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই গুণকের রেজিস্টার ইনপুট A নির্বাচন করতে হবে।
ডেটা ইনপুট বাসের জন্য রেজিস্টার অ্যাসিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই গুণকের রেজিস্টার ইনপুট A নির্বাচন করতে হবে।
ডেটা ইনপুট বাসের জন্য রেজিস্টার সিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই গুণকের রেজিস্টার ইনপুট A নির্বাচন করতে হবে।
ডাটাব ইনপুট বাসের জন্য ইনপুট রেজিস্টার সক্ষম করতে এই বিকল্পটি নির্বাচন করুন।
ডেটাব ইনপুট বাসের জন্য রেজিস্টার ইনপুট ঘড়ি সংকেত সক্রিয় এবং নির্দিষ্ট করতে Clock0 , Clock1 বা Clock2 নির্বাচন করুন। এই প্যারামিটারটি সক্ষম করতে আপনাকে গুণকের রেজিস্টার ইনপুট B নির্বাচন করতে হবে।
ডেটাব ইনপুট বাসের জন্য রেজিস্টার অ্যাসিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে গুণকের রেজিস্টার ইনপুট B নির্বাচন করতে হবে।
ডেটাব ইনপুট বাসের জন্য রেজিস্টার সিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে গুণকের রেজিস্টার ইনপুট B নির্বাচন করতে হবে।
গুণকের A ইনপুট করার জন্য ইনপুট উৎস নির্বাচন করুন।
অব্যাহত…

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 50

প্রতিক্রিয়া পাঠান

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

প্যারামিটার

আইপি জেনারেটেড প্যারামিটার

মান

স্ক্যানআউট একটি রেজিস্টার কনফিগারেশন

স্ক্যান চেইনের আউটপুট নিবন্ধন করুন

gui_scanouta চালু

_নিবন্ধন করুন

বন্ধ

ঘড়ি ইনপুট জন্য উৎস কি?

gui_scanouta _register_clock k

ঘড়ি0 ঘড়ি1 ঘড়ি2

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_scanouta _register_aclr

ACLR0 ACLR1 নেই

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_scanouta _register_sclr

SCLR0 SCLR1 নেই

৮.৬.৪। প্রিডার ট্যাব

সারণি 33. প্রিডার ট্যাব

প্যারামিটার

আইপি জেনারেটেড প্যারামিটার

মান

প্রিডার মোড নির্বাচন করুন

preadder_mo de

সিম্পল, COEF, ইনপুট, স্কোয়ার, কনস্ট্যান্ট

ডিফল্ট মান

বর্ণনা
গুণকের উৎস হিসাবে ডেটা ইনপুট বাস ব্যবহার করতে গুণক ইনপুট নির্বাচন করুন। গুণকের উৎস হিসেবে স্ক্যানিন ইনপুট বাস ব্যবহার করতে স্ক্যান চেইন ইনপুট নির্বাচন করুন এবং স্ক্যানআউট আউটপুট বাস সক্ষম করুন। এই প্যারামিটারটি পাওয়া যায় যখন আপনি 2, 3 বা 4 নির্বাচন করেন গুণকের সংখ্যা কত? প্যারামিটার

বন্ধ ঘড়ি0 কোনটি নয়

Scanouta আউটপুট বাসের জন্য আউটপুট রেজিস্টার সক্ষম করতে এই বিকল্পটি নির্বাচন করুন।
আপনাকে অবশ্যই স্ক্যান চেইন ইনপুট নির্বাচন করতে হবে যার সাথে সংযুক্ত গুণকের ইনপুট A কি? এই বিকল্পটি সক্ষম করতে পরামিতি।
স্ক্যানউটা আউটপুট বাসের জন্য রেজিস্টার ইনপুট ঘড়ি সংকেত সক্রিয় এবং নির্দিষ্ট করতে Clock0 , Clock1 বা Clock2 নির্বাচন করুন।
এই বিকল্পটি সক্ষম করতে আপনাকে অবশ্যই স্ক্যান চেইন প্যারামিটারের রেজিস্টার আউটপুট চালু করতে হবে।
স্ক্যানউটা আউটপুট বাসের জন্য রেজিস্টার অ্যাসিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে।
এই বিকল্পটি সক্ষম করতে আপনাকে অবশ্যই স্ক্যান চেইন প্যারামিটারের রেজিস্টার আউটপুট চালু করতে হবে।
স্ক্যানউটা আউটপুট বাসের জন্য রেজিস্টার সিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে।
এই বিকল্পটি সক্ষম করতে আপনাকে অবশ্যই স্ক্যান চেইন প্যারামিটারের রেজিস্টার আউটপুট নির্বাচন করতে হবে।

ডিফল্ট মান
সরল

বর্ণনা
প্রিডার মডিউলের জন্য অপারেশন মোড নির্দিষ্ট করে। সরল: এই মোডটি প্রিডারকে বাইপাস করে। এটি ডিফল্ট মোড। COEF: এই মোডটি প্রিডারের আউটপুট এবং coefsel ইনপুট বাসকে গুণকের ইনপুট হিসাবে ব্যবহার করে। ইনপুট: এই মোডটি প্রিডারের আউটপুট এবং ডাটাক ইনপুট বাসকে গুণকের ইনপুট হিসাবে ব্যবহার করে। SQUARE: এই মোডটি প্রিডারের আউটপুটকে গুণকের উভয় ইনপুট হিসাবে ব্যবহার করে।
অব্যাহত…

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 51

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

প্যারামিটার

আইপি জেনারেটেড প্যারামিটার

মান

প্রিডার দিক নির্বাচন করুন

gui_preadder ADD,

_দিকনির্দেশনা

উপ

C width_c ইনপুট বাস কত চওড়া হওয়া উচিত?

1 - 256

ডেটা সি ইনপুট রেজিস্টার কনফিগারেশন

ডেটাক ইনপুট নিবন্ধন করুন

gui_datac_inp চালু

ut_register

বন্ধ

ঘড়ি ইনপুট জন্য উৎস কি?

gui_datac_inp ut_register_cl ock

ঘড়ি0 ঘড়ি1 ঘড়ি2

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_datac_inp ut_register_a clr

ACLR0 ACLR1 নেই

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_datac_inp ut_register_sc lr

SCLR0 SCLR1 নেই

সহগ
কোফের প্রস্থ কত প্রশস্ত হওয়া উচিত?

width_coef

1 - 27

Coef রেজিস্টার কনফিগারেশন

কোফেসেল ইনপুট নিবন্ধন করুন

gui_coef_regi চালু

স্টার

বন্ধ

ঘড়ি ইনপুট জন্য উৎস কি?

gui_coef_regi ster_clock

ঘড়ি0 ঘড়ি1 ঘড়ি2

ডিফল্ট মান
যোগ করুন
16

বর্ণনা
কনস্ট্যান্ট: এই মোডটি প্রিডার বাইপাসড এবং কোফেসেল ইনপুট বাস সহ ডাটা ইনপুট বাস ব্যবহার করে গুণকের ইনপুট হিসাবে।
প্রিডারের অপারেশন নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে, সিলেক্ট প্রিডার মোডের জন্য নিম্নলিখিতগুলি নির্বাচন করুন: · COEF · ইনপুট · স্কোয়ার বা · CONSTANT
সি ইনপুট বাসের জন্য বিটের সংখ্যা নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে নির্বাচন প্রিডার মোডের জন্য INPUT নির্বাচন করতে হবে৷

ঘড়িতে 0 NONE NONE

ডেটাক ইনপুট বাসের জন্য ইনপুট রেজিস্টার সক্ষম করতে এই বিকল্পটি নির্বাচন করুন। এই বিকল্পটি সক্ষম করতে আপনাকে প্রিডার মোড প্যারামিটার নির্বাচন করতে INPUT সেট করতে হবে৷
ডেটাক ইনপুট রেজিস্টারের জন্য ইনপুট ঘড়ি সংকেত নির্দিষ্ট করতে Clock0 , Clock1 বা Clock2 নির্বাচন করুন। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই নিবন্ধন ডেটাক ইনপুট নির্বাচন করতে হবে।
ডেটাক ইনপুট রেজিস্টারের জন্য অ্যাসিঙ্ক্রোনাস পরিষ্কার উত্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই নিবন্ধন ডেটাক ইনপুট নির্বাচন করতে হবে।
ডেটাক ইনপুট রেজিস্টারের জন্য সিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই নিবন্ধন ডেটাক ইনপুট নির্বাচন করতে হবে।

18

এর জন্য বিটের সংখ্যা নির্দিষ্ট করে

coefsel ইনপুট বাস।

এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই প্রিডার মোডের জন্য COEF বা CONSTANT নির্বাচন করতে হবে৷

ঘড়ি 0 এ

coefsel ইনপুট বাসের জন্য ইনপুট রেজিস্টার সক্ষম করতে এই বিকল্পটি নির্বাচন করুন। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই প্রিডার মোডের জন্য COEF বা CONSTANT নির্বাচন করতে হবে৷
কোফেসেল ইনপুট রেজিস্টারের জন্য ইনপুট ঘড়ি সংকেত নির্দিষ্ট করতে Clock0 , Clock1 বা Clock2 নির্বাচন করুন। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই রেজিস্টার দ্য কোফেসেল ইনপুট নির্বাচন করতে হবে।
অব্যাহত…

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 52

প্রতিক্রিয়া পাঠান

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

প্যারামিটার
অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

আইপি জেনারেটেড প্যারামিটার

মান

gui_coef_regi ster_aclr

ACLR0 ACLR1 নেই

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি

gui_coef_regi ster_sclr

SCLR0 SCLR1 নেই

Coefficient_0 কনফিগারেশন

coef0_0 থেকে coef0_7

0x00000 0xFFFFFFF

Coefficient_1 কনফিগারেশন

coef1_0 থেকে coef1_7

0x00000 0xFFFFFFF

Coefficient_2 কনফিগারেশন

coef2_0 থেকে coef2_7

0x00000 0xFFFFFFF

Coefficient_3 কনফিগারেশন

coef3_0 থেকে coef3_7

0x00000 0xFFFFFFF

8.6.5। অ্যাকিউমুলেটর ট্যাব

সারণি 34. অ্যাকুমুলেটর ট্যাব

প্যারামিটার

আইপি জেনারেটেড প্যারামিটার

মান

সঞ্চয়কারী সক্ষম করবেন?

সঞ্চয়কারী

হ্যাঁ না

সঞ্চয়কারী অপারেশন প্রকার কি?

accum_directi ADD,

on

উপ

ডিফল্ট মান নেই
কোনটিই নয়
0x0000000 0
0x0000000 0
0x0000000 0
0x0000000 0

বর্ণনা
কোফেসেল ইনপুট রেজিস্টারের জন্য অসিঙ্ক্রোনাস স্পষ্ট উত্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই রেজিস্টার দ্য কোফেসেল ইনপুট নির্বাচন করতে হবে।
কোফেসেল ইনপুট রেজিস্টারের জন্য সিঙ্ক্রোনাস স্পষ্ট উত্স নির্দিষ্ট করে। এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই রেজিস্টার দ্য কোফেসেল ইনপুট নির্বাচন করতে হবে।
এই প্রথম গুণকের জন্য সহগ মান নির্দিষ্ট করে। বিটের সংখ্যা অবশ্যই একই হতে হবে যেখানে উল্লেখ করা হয়েছে কোফের প্রস্থ কত প্রশস্ত হওয়া উচিত? প্যারামিটার এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই প্রিডার মোডের জন্য COEF বা CONSTANT নির্বাচন করতে হবে৷
এই দ্বিতীয় গুণকের জন্য সহগ মান নির্দিষ্ট করে। বিটের সংখ্যা অবশ্যই একই হতে হবে যেখানে উল্লেখ করা হয়েছে কোফের প্রস্থ কত প্রশস্ত হওয়া উচিত? প্যারামিটার এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই প্রিডার মোডের জন্য COEF বা CONSTANT নির্বাচন করতে হবে৷
এই তৃতীয় গুণকের জন্য সহগ মান নির্দিষ্ট করে। বিটের সংখ্যা অবশ্যই একই হতে হবে যেখানে উল্লেখ করা হয়েছে কোফের প্রস্থ কত প্রশস্ত হওয়া উচিত? প্যারামিটার এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই প্রিডার মোডের জন্য COEF বা CONSTANT নির্বাচন করতে হবে৷
এই চতুর্থ গুণকের জন্য সহগ মান নির্দিষ্ট করে। বিটের সংখ্যা অবশ্যই একই হতে হবে যেখানে উল্লেখ করা হয়েছে কোফের প্রস্থ কত প্রশস্ত হওয়া উচিত? প্যারামিটার এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই প্রিডার মোডের জন্য COEF বা CONSTANT নির্বাচন করতে হবে৷

ডিফল্ট মান NO
যোগ করুন

বর্ণনা
সঞ্চয়কারী সক্রিয় করতে হ্যাঁ নির্বাচন করুন। অ্যাকিউমুলেটর বৈশিষ্ট্য ব্যবহার করার সময় আপনাকে অবশ্যই অ্যাডার ইউনিটের রেজিস্টার আউটপুট নির্বাচন করতে হবে।
সঞ্চয়কারীর ক্রিয়াকলাপ সুনির্দিষ্ট করে: · যোগ ক্রিয়াকলাপের জন্য ADD · বিয়োগ ক্রিয়াকলাপের জন্য SUB। সঞ্চয়কারী সক্ষম করার জন্য আপনাকে অবশ্যই হ্যাঁ নির্বাচন করতে হবে? এই বিকল্পটি সক্ষম করতে পরামিতি।
অব্যাহত…

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 53

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

প্যারামিটার
প্রিলোড কনস্ট্যান্ট প্রিলোড কনস্ট্যান্ট সক্ষম করুন

আইপি জেনারেটেড প্যারামিটার

মান

gui_ena_prelo চালু

ad_const

বন্ধ

অ্যাকুমুলেট পোর্টের ইনপুট কিসের সাথে সংযুক্ত?

gui_accumula ACCUM_SLOAD, te_port_select SLOAD_ACCUM

প্রিলোড loadconst_val 0 – 64 এর জন্য মান নির্বাচন করুন

ধ্রুবক

ue

ঘড়ি ইনপুট জন্য উৎস কি?

gui_accum_sl oad_register_ঘড়ি

ঘড়ি0 ঘড়ি1 ঘড়ি2

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_accum_sl oad_register_aclr

ACLR0 ACLR1 নেই

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_accum_sl oad_register_sclr

SCLR0 SCLR1 নেই

ডবল অ্যাকিউমুলেটর সক্ষম করুন

gui_double_a চালু

cum

বন্ধ

ডিফল্ট মান

বর্ণনা

বন্ধ

accum_sload সক্রিয় করুন বা

sload_accum সংকেত এবং রেজিস্টার ইনপুট

গতিশীলভাবে ইনপুট নির্বাচন করতে

সঞ্চয়কারী

যখন accum_sload কম হয় বা sload_accum হয়, গুণক আউটপুট সঞ্চয়কারীতে ফিড হয়।

যখন accum_sload বেশি হয় বা sload_accum হয়, তখন ব্যবহারকারীর নির্দিষ্ট প্রিলোড ধ্রুবককে সঞ্চয়কারীতে ফিড করা হয়।

সঞ্চয়কারী সক্ষম করার জন্য আপনাকে অবশ্যই হ্যাঁ নির্বাচন করতে হবে? এই বিকল্পটি সক্ষম করতে পরামিতি।

ACCUM_SL OAD

accum_sload/ sload_accum সংকেতের আচরণ নির্দিষ্ট করে।
ACCUM_SLOAD: সঞ্চয়কারীতে গুণক আউটপুট লোড করতে accum_sload কম চালান।
SLOAD_ACCUM: সঞ্চয়কারীতে গুণক আউটপুট লোড করতে sload_accum হাই ড্রাইভ করুন।
এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই প্রিলোড ধ্রুবক বিকল্প সক্রিয় করতে হবে।

64

প্রিসেট ধ্রুবক মান নির্দিষ্ট করুন।

এই মান 2N হতে পারে যেখানে N হল প্রিসেট ধ্রুবক মান।

যখন N=64, এটি একটি ধ্রুবক শূন্য প্রতিনিধিত্ব করে।

এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই প্রিলোড ধ্রুবক বিকল্প সক্রিয় করতে হবে।

ঘড়ি 0

accum_sload/sload_accum রেজিস্টারের জন্য ইনপুট ঘড়ি সংকেত নির্দিষ্ট করতে Clock0 , Clock1 বা Clock2 নির্বাচন করুন।
এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই প্রিলোড ধ্রুবক বিকল্প সক্রিয় করতে হবে।

কোনটিই নয়

accum_sload/sload_accum রেজিস্টারের জন্য অসিঙ্ক্রোনাস স্পষ্ট উৎস নির্দিষ্ট করে।
এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই প্রিলোড ধ্রুবক বিকল্প সক্রিয় করতে হবে।

কোনটিই নয়

accum_sload/sload_accum রেজিস্টারের জন্য সিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে।
এই প্যারামিটারটি সক্ষম করতে আপনাকে অবশ্যই প্রিলোড ধ্রুবক বিকল্প সক্রিয় করতে হবে।

বন্ধ

ডাবল অ্যাকিউমুলেটর রেজিস্টার সক্ষম করে।

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 54

প্রতিক্রিয়া পাঠান

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

৮.৬.৬। সিস্টোলিক/চেইনআউট ট্যাব

সারণি 35. সিস্টোলিক/চেইনআউট অ্যাডার ট্যাব

প্যারামিটার চেইনআউট অ্যাডার সক্ষম করুন

আইপি জেনারেটেড প্যারামিটার

মান

chainout_add হ্যাঁ,

er

না

চেইনআউট অ্যাডার অপারেশন টাইপ কি?

chainout_add ADD,

er_direction

উপ

চেইনআউট অ্যাডারের জন্য 'নেগেট' ইনপুট সক্ষম করবেন?

পোর্ট_নেগেট

PORT_USED, PORT_UNUSED

'নেগেট' ইনপুট নিবন্ধন করবেন? negate_regist er

অনিবন্ধিত, CLOCK0, CLOCK1, CLOCK2, CLOCK3

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

negate_aclr

ACLR0 ACLR1 নেই

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

negate_sclr

SCLR0 SCLR1 নেই

সিস্টোলিক বিলম্ব
সিস্টোলিক বিলম্ব নিবন্ধন সক্রিয় করুন

gui_systolic_d চালু

Elay

বন্ধ

ঘড়ি ইনপুট জন্য উৎস কি?

gui_systolic_d CLOCK0,

elay_clock

ঘড়ি 1,

ডিফল্ট মান
না

বর্ণনা
চেইনআউট অ্যাডার মডিউল সক্ষম করতে হ্যাঁ নির্বাচন করুন।

যোগ করুন

চেইনআউট অ্যাডার অপারেশন নির্দিষ্ট করে।
বিয়োগ ক্রিয়াকলাপের জন্য, মাল্টিপ্লায়ার A ইনপুটগুলির জন্য উপস্থাপনা বিন্যাস কিসের জন্য SIGNED নির্বাচন করতে হবে? এবং মাল্টিপ্লায়ার বি ইনপুটগুলির জন্য উপস্থাপনা বিন্যাস কী? গুণক ট্যাবে।

PORT_UN ব্যবহার করা হয়েছে

নেগেট ইনপুট সিগন্যাল সক্ষম করতে PORT_USED নির্বাচন করুন।
চেইনআউট অ্যাডার নিষ্ক্রিয় হলে এই প্যারামিটারটি অবৈধ।

নিবন্ধন বাতিল করুন

নেগেট ইনপুট সিগন্যালের জন্য ইনপুট রেজিস্টার সক্রিয় করতে এবং নেগেট রেজিস্টারের জন্য ইনপুট ক্লক সিগন্যাল নির্দিষ্ট করে।
যদি নেগেট ইনপুট রেজিস্টারের প্রয়োজন না হয় তবে UNREGISTERED নির্বাচন করুন
আপনি নির্বাচন করার সময় এই প্যারামিটারটি অবৈধ:
চেইনআউট অ্যাডার সক্ষম করার জন্য না বা
চেইনআউট অ্যাডারের জন্য 'নেগেট' ইনপুট সক্ষম করার জন্য PORT_UNUSED? প্যারামিটার বা

কোনটিই নয়

নেগেট রেজিস্টারের জন্য অ্যাসিঙ্ক্রোনাস পরিষ্কার উত্স নির্দিষ্ট করে।
আপনি নির্বাচন করার সময় এই প্যারামিটারটি অবৈধ:
চেইনআউট অ্যাডার সক্ষম করার জন্য না বা
চেইনআউট অ্যাডারের জন্য 'নেগেট' ইনপুট সক্ষম করার জন্য PORT_UNUSED? প্যারামিটার বা

কোনটিই নয়

নেগেট রেজিস্টারের জন্য সিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে।
আপনি নির্বাচন করার সময় এই প্যারামিটারটি অবৈধ:
চেইনআউট অ্যাডার সক্ষম করার জন্য না বা
চেইনআউট অ্যাডারের জন্য 'নেগেট' ইনপুট সক্ষম করার জন্য PORT_UNUSED? প্যারামিটার বা

CLOCK0 বন্ধ

সিস্টোলিক মোড সক্ষম করতে এই বিকল্পটি নির্বাচন করুন। এই প্যারামিটারটি পাওয়া যায় যখন আপনি 2 বা 4 নির্বাচন করেন গুণক সংখ্যা কত? প্যারামিটার সিস্টোলিক বিলম্ব রেজিস্টার ব্যবহার করতে আপনাকে অবশ্যই অ্যাডার ইউনিটের রেজিস্টার আউটপুট সক্ষম করতে হবে।
সিস্টোলিক বিলম্ব রেজিস্টারের জন্য ইনপুট ঘড়ি সংকেত নির্দিষ্ট করে।
অব্যাহত…

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 55

8. ইন্টেল FPGA মাল্টিপ্লাই অ্যাডার আইপি কোর 683490 | 2020.10.05

প্যারামিটার

আইপি জেনারেটেড প্যারামিটার

মান

ঘড়ি 2,

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_systolic_d elay_aclr

ACLR0 ACLR1 নেই

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_systolic_d elay_sclr

SCLR0 SCLR1 নেই

ডিফল্ট মান
কোনটিই নয়
কোনটিই নয়

বর্ণনা
এই বিকল্পটি সক্ষম করতে আপনাকে অবশ্যই সিস্টোলিক বিলম্ব নিবন্ধন সক্রিয় করতে হবে।
সিস্টোলিক বিলম্ব রেজিস্টারের জন্য অ্যাসিঙ্ক্রোনাস পরিষ্কার উত্স নির্দিষ্ট করে। এই বিকল্পটি সক্ষম করতে আপনাকে অবশ্যই সিস্টোলিক বিলম্ব নিবন্ধন সক্রিয় করতে হবে।
সিস্টোলিক বিলম্ব রেজিস্টারের জন্য সিঙ্ক্রোনাস স্পষ্ট উত্স নির্দিষ্ট করে। এই বিকল্পটি সক্ষম করতে আপনাকে অবশ্যই সিস্টোলিক বিলম্ব নিবন্ধন সক্রিয় করতে হবে।

8.6.7। পাইপলাইনিং ট্যাব

সারণী 36. পাইপলাইনিং ট্যাব

প্যারামিটার পাইপলাইন কনফিগারেশন

আইপি জেনারেটেড প্যারামিটার

মান

আপনি ইনপুট পাইপলাইন রেজিস্টার যোগ করতে চান?

gui_pipelining না, হ্যাঁ

ডিফল্ট মান
না

অনুগ্রহ করে উল্লেখ করুন

বিলম্ব

লেটেন্সি ঘড়ির সংখ্যা

চক্র

0-এর থেকে 0-এর বেশি যেকোনো মান

ঘড়ি ইনপুট জন্য উৎস কি?

gui_input_late ncy_clock

CLOCK0, CLOCK1, CLOCK2

অ্যাসিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_input_late ncy_aclr

ACLR0 ACLR1 নেই

সিঙ্ক্রোনাস পরিষ্কার ইনপুট জন্য উৎস কি?

gui_input_late ncy_sclr

SCLR0 SCLR1 নেই

CLOCK0 NONE NONE

বর্ণনা
ইনপুট সংকেতগুলিতে পাইপলাইন রেজিস্টারের একটি অতিরিক্ত স্তর সক্ষম করতে হ্যাঁ নির্বাচন করুন৷ অনুগ্রহ করে লেটেন্সি ক্লক সাইকেল প্যারামিটারের সংখ্যা উল্লেখ করার জন্য আপনাকে অবশ্যই 0-এর বেশি একটি মান নির্দিষ্ট করতে হবে।
ঘড়ি চক্রের মধ্যে পছন্দসই লেটেন্সি নির্দিষ্ট করে। পাইপলাইন রেজিস্টারের এক স্তর = ঘড়ি চক্রে 1 লেটেন্সি। আপনাকে অবশ্যই হ্যাঁ নির্বাচন করতে হবে আপনি কি ইনপুটে পাইপলাইন রেজিস্টার যোগ করতে চান? এই বিকল্পটি সক্ষম করতে।
পাইপলাইন রেজিস্টার ইনপুট ঘড়ি সংকেত সক্রিয় এবং নির্দিষ্ট করতে Clock0 , Clock1 বা Clock2 নির্বাচন করুন। আপনাকে অবশ্যই হ্যাঁ নির্বাচন করতে হবে আপনি কি ইনপুটে পাইপলাইন রেজিস্টার যোগ করতে চান? এই বিকল্পটি সক্ষম করতে।
অতিরিক্ত পাইপলাইন রেজিস্টারের জন্য রেজিস্টার অ্যাসিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে। আপনাকে অবশ্যই হ্যাঁ নির্বাচন করতে হবে আপনি কি ইনপুটে পাইপলাইন রেজিস্টার যোগ করতে চান? এই বিকল্পটি সক্ষম করতে।
অতিরিক্ত পাইপলাইন রেজিস্টারের জন্য রেজিস্টার সিঙ্ক্রোনাস ক্লিয়ার সোর্স নির্দিষ্ট করে। আপনাকে অবশ্যই হ্যাঁ নির্বাচন করতে হবে আপনি কি ইনপুটে পাইপলাইন রেজিস্টার যোগ করতে চান? এই বিকল্পটি সক্ষম করতে।

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 56

প্রতিক্রিয়া পাঠান

683490 | 2020.10.05 প্রতিক্রিয়া পাঠান

9. ALTMEMMULT (মেমরি-ভিত্তিক ধ্রুবক সহগ গুণক) আইপি কোর

মনোযোগ:

ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ 20.3 এ এই আইপিটির সমর্থন সরিয়ে দিয়েছে। যদি আপনার ডিজাইনের আইপি কোরটি Intel Quartus প্রাইম প্রো সংস্করণে ডিভাইসগুলিকে লক্ষ্য করে, তাহলে আপনি LPM_MULT Intel FPGA IP দিয়ে IP প্রতিস্থাপন করতে পারেন বা IP পুনরায় তৈরি করতে পারেন এবং Intel Quartus Prime Standard Edition সফ্টওয়্যার ব্যবহার করে আপনার ডিজাইন কম্পাইল করতে পারেন৷

ALTMEMMULT IP কোরটি Intel FPGAs (M512, M4K, M9K, এবং MLAB মেমরি ব্লক সহ) পাওয়া অনচিপ মেমরি ব্লকগুলি ব্যবহার করে মেমরি-ভিত্তিক গুণক তৈরি করতে ব্যবহৃত হয়। লজিক এলিমেন্ট (LEs) বা ডেডিকেটেড মাল্টিপ্লায়ার রিসোর্সে গুণক প্রয়োগ করার জন্য আপনার কাছে পর্যাপ্ত সংস্থান না থাকলে এই আইপি কোরটি কার্যকর।
ALTMEMMULT আইপি কোর হল একটি সিঙ্ক্রোনাস ফাংশন যার জন্য একটি ঘড়ি প্রয়োজন। ALTMEMMULT IP কোর একটি প্রদত্ত প্যারামিটার এবং স্পেসিফিকেশনের সেটের জন্য সম্ভাব্য ক্ষুদ্রতম থ্রুপুট এবং লেটেন্সি সহ একটি গুণক প্রয়োগ করে।
নিম্নলিখিত চিত্রটি ALTMEMMULT আইপি কোরের জন্য পোর্টগুলি দেখায়।

চিত্র 21. ALTMEMMULT পোর্ট

ALTMEMMULT

data_in[] sload_data coeff_in[]

ফলাফল[] result_valid load_done

sload_coeff

sclr ঘড়ি
inst

71 পৃষ্ঠায় সম্পর্কিত তথ্য বৈশিষ্ট্য

9.1. বৈশিষ্ট্য
ALTMEMMULT আইপি কোর নিম্নলিখিত বৈশিষ্ট্যগুলি অফার করে: · অন-চিপ মেমরি ব্লক ব্যবহার করে শুধুমাত্র মেমরি-ভিত্তিক মাল্টিপ্লায়ার তৈরি করে
Intel FPGAs · 1 বিটের ডেটা প্রস্থ সমর্থন করে · স্বাক্ষরিত এবং স্বাক্ষরবিহীন ডেটা উপস্থাপনা বিন্যাস সমর্থন করে · নির্দিষ্ট আউটপুট লেটেন্সি সহ পাইপলাইনিং সমর্থন করে

ইন্টেল কর্পোরেশন। সমস্ত অধিকার সংরক্ষিত. ইন্টেল, ইন্টেল লোগো এবং অন্যান্য ইন্টেল চিহ্নগুলি হল ইন্টেল কর্পোরেশন বা এর সহযোগী সংস্থাগুলির ট্রেডমার্ক৷ ইন্টেল তার এফপিজিএ এবং সেমিকন্ডাক্টর পণ্যগুলির কার্যকারিতাকে ইন্টেলের স্ট্যান্ডার্ড ওয়ারেন্টি অনুসারে বর্তমান স্পেসিফিকেশনের জন্য ওয়ারেন্টি দেয়, তবে নোটিশ ছাড়াই যে কোনও সময় যে কোনও পণ্য এবং পরিষেবাতে পরিবর্তন করার অধিকার সংরক্ষণ করে। ইন্টেল এখানে বর্ণিত কোনো তথ্য, পণ্য, বা পরিষেবার প্রয়োগ বা ব্যবহারের ফলে উদ্ভূত কোনো দায় বা দায়ভার গ্রহণ করে না, যা Intel দ্বারা লিখিতভাবে স্পষ্টভাবে সম্মত হয়েছে। Intel গ্রাহকদের কোনো প্রকাশিত তথ্যের উপর নির্ভর করার আগে এবং পণ্য বা পরিষেবার জন্য অর্ডার দেওয়ার আগে ডিভাইসের স্পেসিফিকেশনের সর্বশেষ সংস্করণ পেতে পরামর্শ দেওয়া হয়। *অন্যান্য নাম এবং ব্র্যান্ড অন্যদের সম্পত্তি হিসাবে দাবি করা যেতে পারে।

ISO 9001:2015 নিবন্ধিত

9. ALTMEMMULT (মেমরি-ভিত্তিক ধ্রুবক সহগ গুণক) আইপি কোর 683490 | 2020.10.05
· র‍্যান্ডম-অ্যাক্সেস মেমরিতে (RAM) বহুগুণ ধ্রুবক সংরক্ষণ করে
· RAM ব্লক প্রকার নির্বাচন করার জন্য একটি বিকল্প প্রদান করে
ঐচ্ছিক সিঙ্ক্রোনাস ক্লিয়ার এবং লোড-কন্ট্রোল ইনপুট পোর্ট সমর্থন করে
9.2। ভেরিলগ এইচডিএল প্রোটোটাইপ
নিম্নলিখিত ভেরিলগ এইচডিএল প্রোটোটাইপটি ভেরিলগ ডিজাইনে অবস্থিত File (.v) altera_mf.v in the eda সংশ্লেষণ ডিরেক্টরি।
মডিউল altmemmult #( প্যারামিটার coeff_representation = “SIGNED”, parameter coefficient0 = “unused”, parameter data_representation = “SIGNED”, প্যারামিটার intended_device_family = “unused”, প্যারামিটার max_clock_cycles_per_result = 1_b_ramemeter = 1_cofemeter, প্যারামিটার সংখ্যা = 1_b_lock অটো", প্যারামিটার total_latency = 1, প্যারামিটার width_c = 1, প্যারামিটার width_d = 1, প্যারামিটার width_r = 1, প্যারামিটার width_s = 1, প্যারামিটার lpm_type = "altmemmult", প্যারামিটার lpm_hint = "অব্যবহৃত") ( ইনপুট তারের ঘড়ি, ইনপুট ওয়্যার: 0]coeff_in, ইনপুট ওয়্যার [width_d-1:0] data_in, output wire load_done, output wire [width_r-1:0] রেজাল্ট, output wire result_valid, input wire sclr, input wire [width_s-1:0] sel, input তারের sload_coeff, ইনপুট তারের sload_data)/* সংশ্লেষণ syn_black_box=1 */; endmodule
9.3। VHDL উপাদান ঘোষণা
ভিএইচডিএল কম্পোনেন্ট ডিক্লারেশনটি ভিএইচডিএল ডিজাইনে অবস্থিত File (.vhd) altera_mf_components.vhd এর মধ্যে librariesvhdlaltera_mf ডিরেক্টরি।
উপাদান আল্টমেমমাল্ট জেনেরিক (কোফ_প্রেসেন্টেশন: স্ট্রিং: = "স্বাক্ষরিত"; সহগ 0: স্ট্রিং: = "অব্যবহৃত"; ডেটা_প্রেসেন্টেশন: স্ট্রিং: = "স্বাক্ষরিত"; উদ্দেশ্যযুক্ত_ডেভাইস_ফ্যামিলি: = "অব্যবহৃত"; ম্যাক্স_ক্লকক্লেস_পার_রেসাল্ট: = 1; := 1; ram_block_type:string := "AUTO"; total_latency:Natural; width_c:Natural; width_d:Natural; width_r:Natural; width_s:Natural := 1; lpm_hint:string := "unused"; lping_type "altmemmult"); পোর্ট( clock:in std_logic; coeff_in: std_logic_vector এ (width_c-1 down to 0) := (অন্যান্য => '0'); data_in: std_logic_vector এ(width_d-1 down to 0);

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 58

প্রতিক্রিয়া পাঠান

9. ALTMEMMULT (মেমরি-ভিত্তিক ধ্রুবক সহগ গুণক) আইপি কোর 683490 | 2020.10.05

load_done:আউট std_logic; ফলাফল: std_logic_vector(width_r-1 down to 0); ফলাফল_বৈধ:আউট std_logic; sclr: std_logic এ := '0'; sel:std_logic_vector(width_s-1 down to 0) := (অন্যদের => '0'); sload_coeff: std_logic এ := '0'; sload_data: std_logic এ := '0'); শেষ উপাদান;

9.4. বন্দর

নিম্নলিখিত টেবিলগুলি ALTMEMMULT আইপি কোরের জন্য ইনপুট এবং আউটপুট পোর্টগুলির তালিকা করে৷

সারণি 37. ALTMEMMULT ইনপুট পোর্ট

পোর্টের নাম

প্রয়োজন

বর্ণনা

ঘড়ি

হ্যাঁ

গুণক ঘড়ি ইনপুট.

coeff_in[]

না

গুণকের জন্য সহগ ইনপুট পোর্ট। ইনপুট পোর্টের আকার WIDTH_C প্যারামিটার মানের উপর নির্ভর করে।

ডেটা_ইন[]

হ্যাঁ

গুণক-এ ডেটা ইনপুট পোর্ট। ইনপুট পোর্টের আকার WIDTH_D প্যারামিটার মানের উপর নির্ভর করে।

sclr

না

সিঙ্ক্রোনাস পরিষ্কার ইনপুট। অব্যবহৃত হলে, ডিফল্ট মান সক্রিয় উচ্চ।

সেল []

না

স্থির সহগ নির্বাচন। ইনপুট পোর্টের আকার WIDTH_S এর উপর নির্ভর করে

পরামিতি মান।

sload_coeff

না

সিঙ্ক্রোনাস লোড সহগ ইনপুট পোর্ট। coeff_in ইনপুটে উল্লেখিত মান দিয়ে বর্তমান নির্বাচিত সহগ মান প্রতিস্থাপন করে।

sload_data

না

সিঙ্ক্রোনাস লোড ডেটা ইনপুট পোর্ট। সংকেত যা নতুন গুণের ক্রিয়াকলাপকে নির্দিষ্ট করে এবং যেকোন বিদ্যমান গুন অপারেশন বাতিল করে। MAX_CLOCK_CYCLES_PER_RESULT প্যারামিটারের মান 1 হলে, sload_data ইনপুট পোর্ট উপেক্ষা করা হয়।

সারণি 38. ALTMEMMULT আউটপুট পোর্ট

পোর্টের নাম

প্রয়োজন

বর্ণনা

ফলাফল[]

হ্যাঁ

গুণক আউটপুট পোর্ট। ইনপুট পোর্টের আকার WIDTH_R প্যারামিটার মানের উপর নির্ভর করে।

ফলাফল_বৈধ

হ্যাঁ

নির্দেশ করে যখন আউটপুট একটি সম্পূর্ণ গুণনের বৈধ ফলাফল। যদি MAX_CLOCK_CYCLES_PER_RESULT প্যারামিটারের মান 1 থাকে, তাহলে result_valid আউটপুট পোর্ট ব্যবহার করা হয় না।

load_done

না

নতুন সহগ লোড করা শেষ হলে নির্দেশ করে৷ একটি নতুন সহগ লোড করা শেষ হলে load_done সংকেত জোর দেয়। load_done সংকেত উচ্চ না হলে, মেমরিতে অন্য কোন সহগ মান লোড করা যাবে না।

9.5. পরামিতি

নিম্নলিখিত সারণী ALTMEMMULT IP কোরের জন্য পরামিতি তালিকাভুক্ত করে।

টেবিল 39।
WIDTH_D WIDTH_C

ALTMEMMULT পরামিতি
প্যারামিটারের নাম

টাইপ আবশ্যক

বর্ণনা

পূর্ণসংখ্যা হ্যাঁ

ডেটা_ইন[] পোর্টের প্রস্থ নির্দিষ্ট করে।

পূর্ণসংখ্যা হ্যাঁ

coeff_in[] পোর্টের প্রস্থ নির্দিষ্ট করে। অব্যাহত…

প্রতিক্রিয়া পাঠান

ইন্টেল FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর ব্যবহারকারী নির্দেশিকা 59

9. ALTMEMMULT (মেমরি-ভিত্তিক ধ্রুবক সহগ গুণক) আইপি কোর 683490 | 2020.10.05

প্যারামিটারের নাম WIDTH_R WIDTH

দলিল/সম্পদ

intel FPGA পূর্ণসংখ্যা পাটিগণিত আইপি কোর [পিডিএফ] ব্যবহারকারীর নির্দেশিকা
এফপিজিএ পূর্ণসংখ্যা পাটিগণিত আইপি কোর, পূর্ণসংখ্যা গাণিতিক আইপি কোর, পাটিগণিত আইপি কোর, আইপি কোর

তথ্যসূত্র

একটি মন্তব্য করুন

আপনার ইমেল ঠিকানা প্রকাশ করা হবে না. প্রয়োজনীয় ক্ষেত্রগুলি চিহ্নিত করা হয়েছে *